OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_io_d.vhd] - Diff between revs 2 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 12
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The D port controller.
-- The D port controller.
--
--
-- $Id: t400_io_d.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $
-- $Id: t400_io_d.vhd,v 1.2 2006-05-07 02:24:16 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 118... Line 118...
  -- Process out_driver
  -- Process out_driver
  --
  --
  -- Purpose:
  -- Purpose:
  --   Implements the output driver data and enable.
  --   Implements the output driver data and enable.
  --
  --
  out_driver: process (d_q)
  out_driver: process (d_q,
 
                       vdd_s)
  begin
  begin
    -- bit 3
    -- bit 3
    io_d_o(3)    <= io_out_f(dat => d_q(3),
    io_d_o(3)    <= io_out_f(dat => d_q(3),
                             opt => opt_out_type_3_g);
                             opt => opt_out_type_3_g);
    io_d_en_o(3) <= io_en_f (en  => vdd_s, dat => d_q(3),
    io_d_en_o(3) <= io_en_f (en  => vdd_s, dat => d_q(3),
Line 155... Line 156...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.