OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_io_g.vhd] - Diff between revs 12 and 113

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 113
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The G port controller.
-- The G port controller.
--
--
-- $Id: t400_io_g.vhd,v 1.2 2006-05-07 02:24:16 arniml Exp $
-- $Id: t400_io_g.vhd,v 1.3 2006-06-05 20:32:34 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 53... Line 53...
 
 
  generic (
  generic (
    opt_out_type_3_g : integer := t400_opt_out_type_std_c;
    opt_out_type_3_g : integer := t400_opt_out_type_std_c;
    opt_out_type_2_g : integer := t400_opt_out_type_std_c;
    opt_out_type_2_g : integer := t400_opt_out_type_std_c;
    opt_out_type_1_g : integer := t400_opt_out_type_std_c;
    opt_out_type_1_g : integer := t400_opt_out_type_std_c;
    opt_out_type_0_g : integer := t400_opt_out_type_std_c
    opt_out_type_0_g : integer := t400_opt_out_type_std_c;
 
    opt_microbus_g   : integer := t400_opt_no_microbus_c
  );
  );
  port (
  port (
    -- System Interface -------------------------------------------------------
    -- System Interface -------------------------------------------------------
    ck_i       : in  std_logic;
    ck_i       : in  std_logic;
    ck_en_i    : in  boolean;
    ck_en_i    : in  boolean;
Line 65... Line 66...
    res_i      : in  boolean;
    res_i      : in  boolean;
    -- Control Interface ------------------------------------------------------
    -- Control Interface ------------------------------------------------------
    op_i       : in  io_g_op_t;
    op_i       : in  io_g_op_t;
    m_i        : in  dw_t;
    m_i        : in  dw_t;
    dec_data_i : in  dec_data_t;
    dec_data_i : in  dec_data_t;
 
    cs_n_i     : in  std_logic;
 
    wr_n_i     : in  std_logic;
    -- Port G Interface -------------------------------------------------------
    -- Port G Interface -------------------------------------------------------
    io_g_o     : out dw_t;
    io_g_o     : out dw_t;
    io_g_en_o  : out dw_t
    io_g_en_o  : out dw_t
  );
  );
 
 
Line 110... Line 113...
          when IOG_LOAD_DEC =>
          when IOG_LOAD_DEC =>
            g_q <= dec_data_i(dw_range_t);
            g_q <= dec_data_i(dw_range_t);
          when others =>
          when others =>
            null;
            null;
        end case;
        end case;
 
 
      end if;
      end if;
 
 
 
      -- reset G(0) in MICROBUS operation upon write
 
      if opt_microbus_g = t400_opt_microbus_c and
 
         cs_n_i = '0' and wr_n_i = '0' then
 
        g_q(0) <= '0';
 
      end if;
    end if;
    end if;
  end process g_reg;
  end process g_reg;
  --
  --
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
Line 162... Line 171...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/07 02:24:16  arniml
 
-- fix sensitivity list
 
--
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- import from local CVS repository, LOC_CVS_0_1
-- import from local CVS repository, LOC_CVS_0_1
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.