OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_io_l.vhd] - Diff between revs 2 and 54

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 54
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The L port controller.
-- The L port controller.
--
--
-- $Id: t400_io_l.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $
-- $Id: t400_io_l.vhd,v 1.2 2006-05-23 01:14:28 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 125... Line 125...
      end if;
      end if;
 
 
      -- Microbus functionality
      -- Microbus functionality
      if opt_microbus_g = t400_opt_microbus_c then
      if opt_microbus_g = t400_opt_microbus_c then
        if cs_n_i = '0' and wr_n_i = '0' then
        if cs_n_i = '0' and wr_n_i = '0' then
          q_q <= io_l_i;
          q_q <= to_X01(io_l_i);
        end if;
        end if;
      end if;
      end if;
    end if;
    end if;
  end process q_reg;
  end process q_reg;
  --
  --
Line 137... Line 137...
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Multiplexer providing read data to the system.
  -- Multiplexer providing read data to the system.
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  q_o <=   io_l_i
  q_o <=   to_X01(io_l_i)
         when op_i = IOL_OUTPUT_L else
         when op_i = IOL_OUTPUT_L else
           q_q;
           q_q;
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 217... Line 217...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.