OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_skip.vhd] - Diff between revs 2 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 36
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The skip unit.
-- The skip unit.
-- Skip conditions are checked here and communicated to the decoder unit.
-- Skip conditions are checked here and communicated to the decoder unit.
--
--
-- $Id: t400_skip.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $
-- $Id: t400_skip.vhd,v 1.2 2006-05-20 02:47:52 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 63... Line 63...
    bd_i       : in  dw_t;
    bd_i       : in  dw_t;
    is_lbi_i   : in  boolean;
    is_lbi_i   : in  boolean;
    a_i        : in  dw_t;
    a_i        : in  dw_t;
    m_i        : in  dw_t;
    m_i        : in  dw_t;
    g_i        : in  dw_t;
    g_i        : in  dw_t;
    tim_c_i    : in  std_logic;
    tim_c_i    : in  boolean;
    skip_o     : out boolean;
    skip_o     : out boolean;
    skip_lbi_o : out boolean
    skip_lbi_o : out boolean
  );
  );
 
 
end t400_skip;
end t400_skip;
Line 158... Line 158...
            when SKIP_M_BIT =>
            when SKIP_M_BIT =>
              skip_next_q <= unsigned(m_i and dec_data_i(dw_range_t)) = 0;
              skip_next_q <= unsigned(m_i and dec_data_i(dw_range_t)) = 0;
 
 
            -- skip on timer carry --------------------------------------------
            -- skip on timer carry --------------------------------------------
            when SKIP_TIMER =>
            when SKIP_TIMER =>
              -- NOT YET IMPLEMENTED!
              skip_next_q <= tim_c_i;
              null;
              null;
 
 
            when others =>
            when others =>
              null;
              null;
          end case;
          end case;
Line 185... Line 185...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.