OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [sim/] [rtl_sim/] [Makefile] - Diff between revs 146 and 166

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 146 Rev 166
Line 1... Line 1...
##############################################################################
##############################################################################
#
#
# Makefile for the T400 Core project.
# Makefile for the T400 Core project.
#
#
# $Id: Makefile,v 1.12 2006-06-11 22:21:04 arniml Exp $
# $Id: Makefile,v 1.13 2008-05-01 19:50:34 arniml Exp $
#
#
# The dependencies for all VHDL source files are stored here.
# The dependencies for all VHDL source files are stored here.
#
#
# Copyright (c) 2006, Arnim Laeuger (arnim.laeuger@gmx.net)
# Copyright (c) 2006, Arnim Laeuger (arnim.laeuger@gmx.net)
#
#
Line 121... Line 121...
        $(ANALYZE) $<
        $(ANALYZE) $<
work/t400_dmem_ctrl-c.o: $(RTL_DIR)/t400_dmem_ctrl-c.vhd \
work/t400_dmem_ctrl-c.o: $(RTL_DIR)/t400_dmem_ctrl-c.vhd \
                         work/t400_dmem_ctrl.o
                         work/t400_dmem_ctrl.o
        $(ANALYZE) $<
        $(ANALYZE) $<
 
 
work/t400_opc_table.o: $(RTL_DIR)/t400_opc_table.vhd \
 
                       work/t400_opt_pack-p.o        \
 
                       work/t400_pack-p.o
 
        $(ANALYZE) $<
 
work/t400_opc_table-c.o: $(RTL_DIR)/t400_opc_table-c.vhd \
 
                         work/t400_opc_table.o
 
        $(ANALYZE) $<
 
 
 
work/t400_skip.o: $(RTL_DIR)/t400_skip.vhd \
work/t400_skip.o: $(RTL_DIR)/t400_skip.vhd \
                  work/t400_pack-p.o
                  work/t400_pack-p.o
        $(ANALYZE) $<
        $(ANALYZE) $<
work/t400_skip-c.o: $(RTL_DIR)/t400_skip-c.vhd \
work/t400_skip-c.o: $(RTL_DIR)/t400_skip-c.vhd \
                    work/t400_skip.o
                    work/t400_skip.o
        $(ANALYZE) $<
        $(ANALYZE) $<
 
 
 
work/t400_mnemonic_pack-p.o: $(RTL_DIR)/t400_mnemonic_pack-p.vhd \
 
                             work/t400_pack-p.o                  \
 
                             work/t400_opt_pack-p.o
 
        $(ANALYZE) $<
 
 
work/t400_decoder.o: $(RTL_DIR)/t400_decoder.vhd \
work/t400_decoder.o: $(RTL_DIR)/t400_decoder.vhd \
                     work/t400_opt_pack-p.o      \
                     work/t400_opt_pack-p.o      \
                     work/t400_pack-p.o          \
                     work/t400_pack-p.o          \
                     work/t400_comp_pack-p.o
                     work/t400_mnemonic_pack-p.o
        $(ANALYZE) $<
        $(ANALYZE) $<
work/t400_decoder-c.o: $(RTL_DIR)/t400_decoder-c.vhd \
work/t400_decoder-c.o: $(RTL_DIR)/t400_decoder-c.vhd \
                       work/t400_opc_table-c.o       \
 
                       work/t400_decoder.o
                       work/t400_decoder.o
        $(ANALYZE) $<
        $(ANALYZE) $<
 
 
work/t400_io_l.o: $(RTL_DIR)/t400_io_l.vhd \
work/t400_io_l.o: $(RTL_DIR)/t400_io_l.vhd \
                  work/t400_opt_pack-p.o   \
                  work/t400_opt_pack-p.o   \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.