OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [syn/] [t421/] [ep1c12/] [rom_t42x.vhd] - Diff between revs 157 and 163

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 157 Rev 163
Line 11... Line 11...
                D       : out std_logic_vector(7 downto 0)
                D       : out std_logic_vector(7 downto 0)
        );
        );
end rom_t42x;
end rom_t42x;
 
 
architecture rtl of rom_t42x is
architecture rtl of rom_t42x is
        signal A_r : std_logic_vector(9 downto 0);
 
begin
begin
        process (Clk)
        process (Clk)
        begin
        begin
                if Clk'event and Clk = '1' then
                if Clk'event and Clk = '1' then
                        A_r <= A;
                case to_integer(unsigned(A)) is
                end if;
 
        end process;
 
        process (A_r)
 
        begin
 
                case to_integer(unsigned(A_r)) is
 
                when 000000 => D <= "01000100"; -- 0x0000
                when 000000 => D <= "01000100"; -- 0x0000
                when 000001 => D <= "00110011"; -- 0x0001
                when 000001 => D <= "00110011"; -- 0x0001
                when 000002 => D <= "01011001"; -- 0x0002
                when 000002 => D <= "01011001"; -- 0x0002
                when 000003 => D <= "00110011"; -- 0x0003
                when 000003 => D <= "00110011"; -- 0x0003
                when 000004 => D <= "01010110"; -- 0x0004
                when 000004 => D <= "01010110"; -- 0x0004
Line 603... Line 597...
                when 000576 => D <= "01000100"; -- 0x0240
                when 000576 => D <= "01000100"; -- 0x0240
                when 000577 => D <= "01100010"; -- 0x0241
                when 000577 => D <= "01100010"; -- 0x0241
                when 000578 => D <= "01000001"; -- 0x0242
                when 000578 => D <= "01000001"; -- 0x0242
                when others => D <= "--------";
                when others => D <= "--------";
                end case;
                end case;
 
        end if;
        end process;
        end process;
end;
end;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.