OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_1_beta/] [rtl/] [vhdl/] [alu.vhd] - Diff between revs 44 and 45

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 44 Rev 45
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Arithmetic Logic Unit (ALU).
-- The Arithmetic Logic Unit (ALU).
-- It contains the ALU core plus the Accumulator and the Temp Reg.
-- It contains the ALU core plus the Accumulator and the Temp Reg.
--
--
-- $Id: alu.vhd,v 1.6 2004-04-07 20:56:23 arniml Exp $
-- $Id: alu.vhd,v 1.7 2004-04-07 22:09:03 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 65... Line 65...
    write_temp_reg_i   : in  boolean;
    write_temp_reg_i   : in  boolean;
    read_alu_i         : in  boolean;
    read_alu_i         : in  boolean;
    -- Decoder Interface ------------------------------------------------------
    -- Decoder Interface ------------------------------------------------------
    carry_i            : in  std_logic;
    carry_i            : in  std_logic;
    carry_o            : out std_logic;
    carry_o            : out std_logic;
    aux_carry_i        : in  std_logic;
 
    aux_carry_o        : out std_logic;
    aux_carry_o        : out std_logic;
    alu_op_i           : in  alu_op_t;
    alu_op_i           : in  alu_op_t;
    use_carry_i        : in  boolean;
    use_carry_i        : in  boolean;
    da_low_i           : in  boolean;
 
    da_high_i          : in  boolean;
    da_high_i          : in  boolean;
    da_overflow_o      : out boolean;
    da_overflow_o      : out boolean;
    accu_low_i         : in  boolean;
    accu_low_i         : in  boolean;
    p06_temp_reg_i     : in  boolean;
    p06_temp_reg_i     : in  boolean;
    p60_temp_reg_i     : in  boolean
    p60_temp_reg_i     : in  boolean
Line 419... Line 417...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.6  2004/04/07 20:56:23  arniml
 
-- default assignment for aux_carry_o
 
--
-- Revision 1.5  2004/04/06 20:21:53  arniml
-- Revision 1.5  2004/04/06 20:21:53  arniml
-- fix sensitivity list
-- fix sensitivity list
--
--
-- Revision 1.4  2004/04/06 18:10:41  arniml
-- Revision 1.4  2004/04/06 18:10:41  arniml
-- rework adder and force resource sharing between ADD, INC and DEC
-- rework adder and force resource sharing between ADD, INC and DEC

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.