OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_1_beta/] [rtl/] [vhdl/] [dmem_ctrl.vhd] - Diff between revs 4 and 65

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 65
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Data Memory control unit.
-- The Data Memory control unit.
-- All accesses to the Data Memory are managed here.
-- All accesses to the Data Memory are managed here.
--
--
-- $Id: dmem_ctrl.vhd,v 1.1 2004-03-23 21:31:52 arniml Exp $
-- $Id: dmem_ctrl.vhd,v 1.2 2004-04-18 18:58:29 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 99... Line 99...
  --   Decode/multiplex the address information for the Data Memory.
  --   Decode/multiplex the address information for the Data Memory.
  --
  --
  addr_decode: process (data_i,
  addr_decode: process (data_i,
                        addr_type_i,
                        addr_type_i,
                        bank_select_i,
                        bank_select_i,
                        dmem_addr_q,
                        dmem_addr_q)
                        write_dmem_addr_i)
 
    variable stack_addr_v : unsigned(5 downto 0);
    variable stack_addr_v : unsigned(5 downto 0);
  begin
  begin
    -- default assignment
    -- default assignment
    dmem_addr_s <= dmem_addr_q;
    dmem_addr_s <= dmem_addr_q;
 
 
Line 203... Line 202...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:52  arniml
 
-- initial check-in
 
--
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.