OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [bench/] [vhdl/] [tb_t8048.vhd] - Diff between revs 19 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 56
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t8048.
-- The testbench for t8048.
--
--
-- $Id: tb_t8048.vhd,v 1.2 2004-03-26 22:39:28 arniml Exp $
-- $Id: tb_t8048.vhd,v 1.3 2004-04-14 20:57:44 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 231... Line 231...
      if tb_accu_s = "10101010" then
      if tb_accu_s = "10101010" then
        wait on tb_accu_s;
        wait on tb_accu_s;
        if tb_accu_s = "01010101" then
        if tb_accu_s = "01010101" then
          wait on tb_accu_s;
          wait on tb_accu_s;
          if tb_accu_s = "00000001" then
          if tb_accu_s = "00000001" then
 
            -- wait for instruction strobe of this move
 
            wait until tb_istrobe_s'event and tb_istrobe_s = '1';
 
            -- wait for next strobe
 
            wait until tb_istrobe_s'event and tb_istrobe_s = '1';
            assert false
            assert false
              report "Simulation Result: PASS."
              report "Simulation Result: PASS."
              severity note;
              severity note;
          else
          else
            assert false
            assert false
Line 259... Line 263...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/03/26 22:39:28  arniml
 
-- enhance simulation result string
 
--
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.