OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [psw.vhd] - Diff between revs 4 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 29
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Program Status Word (PSW).
-- The Program Status Word (PSW).
-- Implements the PSW with its special bits.
-- Implements the PSW with its special bits.
--
--
-- $Id: psw.vhd,v 1.1 2004-03-23 21:31:53 arniml Exp $
-- $Id: psw.vhd,v 1.2 2004-03-28 21:28:13 arniml Exp $
--
--
-- All rights reserved
-- All rights reserved
--
--
-- Redistribution and use in source and synthezised forms, with or without
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
Line 68... Line 68...
    write_carry_i      : in  boolean;
    write_carry_i      : in  boolean;
    write_aux_carry_i  : in  boolean;
    write_aux_carry_i  : in  boolean;
    write_f0_i         : in  boolean;
    write_f0_i         : in  boolean;
    write_bs_i         : in  boolean;
    write_bs_i         : in  boolean;
    carry_o            : out std_logic;
    carry_o            : out std_logic;
 
    aux_carry_i        : in  std_logic;
    aux_carry_o        : out std_logic;
    aux_carry_o        : out std_logic;
    f0_o               : out std_logic;
    f0_o               : out std_logic;
    bs_o               : out std_logic
    bs_o               : out std_logic
  );
  );
 
 
Line 136... Line 137...
        if write_carry_i then
        if write_carry_i then
          psw_q(carry_c)     <= special_data_i;
          psw_q(carry_c)     <= special_data_i;
        end if;
        end if;
        --
        --
        if write_aux_carry_i then
        if write_aux_carry_i then
          psw_q(aux_carry_c) <= special_data_i;
          psw_q(aux_carry_c) <= aux_carry_i;
        end if;
        end if;
        --
        --
        if write_f0_i then
        if write_f0_i then
          psw_q(f0_c)        <= special_data_i;
          psw_q(f0_c)        <= special_data_i;
        end if;
        end if;
Line 197... Line 198...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:53  arniml
 
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.