OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [t48_comp_pack-p.vhd] - Diff between revs 24 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 28
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t48_comp_pack-p.vhd,v 1.2 2004-03-28 13:13:20 arniml Exp $
-- $Id: t48_comp_pack-p.vhd,v 1.3 2004-03-28 21:27:49 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 39... Line 39...
      carry_i            : in  std_logic;
      carry_i            : in  std_logic;
      carry_o            : out std_logic;
      carry_o            : out std_logic;
      aux_carry_i        : in  std_logic;
      aux_carry_i        : in  std_logic;
      aux_carry_o        : out std_logic;
      aux_carry_o        : out std_logic;
      alu_op_i           : in  alu_op_t;
      alu_op_i           : in  alu_op_t;
      use_carry_i        : in  boolean
      use_carry_i        : in  boolean;
 
      da_low_i           : in  boolean;
 
      da_high_i          : in  boolean;
 
      da_overflow_o      : out boolean;
 
      p06_temp_reg_i     : in  boolean;
 
      p60_temp_reg_i     : in  boolean
    );
    );
  end component;
  end component;
 
 
  component bus_mux
  component bus_mux
    port (
    port (
Line 160... Line 165...
      psw_read_psw_o         : out boolean;
      psw_read_psw_o         : out boolean;
      psw_read_sp_o          : out boolean;
      psw_read_sp_o          : out boolean;
      psw_write_psw_o        : out boolean;
      psw_write_psw_o        : out boolean;
      psw_write_sp_o         : out boolean;
      psw_write_sp_o         : out boolean;
      alu_carry_i            : in  std_logic;
      alu_carry_i            : in  std_logic;
      alu_aux_carry_i        : in  std_logic;
 
      alu_op_o               : out alu_op_t;
      alu_op_o               : out alu_op_t;
 
      alu_da_low_o           : out boolean;
 
      alu_da_high_o          : out boolean;
 
      alu_da_overflow_i      : in  boolean;
 
      alu_p06_temp_reg_o     : out boolean;
 
      alu_p60_temp_reg_o     : out boolean;
      alu_use_carry_o        : out boolean;
      alu_use_carry_o        : out boolean;
      bus_output_pcl_o       : out boolean;
      bus_output_pcl_o       : out boolean;
      bus_bidir_bus_o        : out boolean;
      bus_bidir_bus_o        : out boolean;
      clk_multi_cycle_o      : out boolean;
      clk_multi_cycle_o      : out boolean;
      clk_assert_psen_o      : out boolean;
      clk_assert_psen_o      : out boolean;
Line 194... Line 203...
      pm_inc_pc_o            : out boolean;
      pm_inc_pc_o            : out boolean;
      pm_write_pmem_addr_o   : out boolean;
      pm_write_pmem_addr_o   : out boolean;
      pm_addr_type_o         : out pmem_addr_ident_t;
      pm_addr_type_o         : out pmem_addr_ident_t;
      psw_special_data_o     : out std_logic;
      psw_special_data_o     : out std_logic;
      psw_carry_i            : in  std_logic;
      psw_carry_i            : in  std_logic;
 
      psw_aux_carry_i        : in  std_logic;
      psw_f0_i               : in  std_logic;
      psw_f0_i               : in  std_logic;
      psw_inc_stackp_o       : out boolean;
      psw_inc_stackp_o       : out boolean;
      psw_dec_stackp_o       : out boolean;
      psw_dec_stackp_o       : out boolean;
      psw_write_carry_o      : out boolean;
      psw_write_carry_o      : out boolean;
      psw_write_aux_carry_o  : out boolean;
      psw_write_aux_carry_o  : out boolean;
Line 370... Line 380...
      write_carry_i      : in  boolean;
      write_carry_i      : in  boolean;
      write_aux_carry_i  : in  boolean;
      write_aux_carry_i  : in  boolean;
      write_f0_i         : in  boolean;
      write_f0_i         : in  boolean;
      write_bs_i         : in  boolean;
      write_bs_i         : in  boolean;
      carry_o            : out std_logic;
      carry_o            : out std_logic;
 
      aux_carry_i        : in  std_logic;
      aux_carry_o        : out std_logic;
      aux_carry_o        : out std_logic;
      f0_o               : out std_logic;
      f0_o               : out std_logic;
      bs_o               : out std_logic
      bs_o               : out std_logic
    );
    );
  end component;
  end component;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.