OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_3_beta/] [rtl/] [vhdl/] [t48_core.vhd] - Diff between revs 28 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 28 Rev 32
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T48 Microcontroller Core
-- T48 Microcontroller Core
--
--
-- $Id: t48_core.vhd,v 1.3 2004-03-28 21:27:50 arniml Exp $
-- $Id: t48_core.vhd,v 1.4 2004-03-29 19:39:58 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 97... Line 97...
    db_o        : out std_logic_vector( 7 downto 0);
    db_o        : out std_logic_vector( 7 downto 0);
    db_dir_o    : out std_logic;
    db_dir_o    : out std_logic;
    t1_i        : in  std_logic;
    t1_i        : in  std_logic;
    p2_i        : in  std_logic_vector( 7 downto 0);
    p2_i        : in  std_logic_vector( 7 downto 0);
    p2_o        : out std_logic_vector( 7 downto 0);
    p2_o        : out std_logic_vector( 7 downto 0);
    p2_limp_o   : out std_logic;
    p2_low_imp_o : out std_logic;
    p1_i        : in  std_logic_vector( 7 downto 0);
    p1_i        : in  std_logic_vector( 7 downto 0);
    p1_o        : out std_logic_vector( 7 downto 0);
    p1_o        : out std_logic_vector( 7 downto 0);
    p1_limp_o   : out std_logic;
    p1_low_imp_o : out std_logic;
    prog_n_o    : out std_logic;
    prog_n_o    : out std_logic;
    -- Core Interface ---------------------------------------------------------
    -- Core Interface ---------------------------------------------------------
    clk_i       : in  std_logic;
    clk_i       : in  std_logic;
    en_clk_i    : in  std_logic;
    en_clk_i    : in  std_logic;
    xtal3_o     : out std_logic;
    xtal3_o     : out std_logic;
Line 528... Line 528...
        write_p1_i => p1_write_p1_s,
        write_p1_i => p1_write_p1_s,
        read_p1_i  => p1_read_p1_s,
        read_p1_i  => p1_read_p1_s,
        read_reg_i => p1_read_reg_s,
        read_reg_i => p1_read_reg_s,
        p1_i       => p1_i,
        p1_i       => p1_i,
        p1_o       => p1_o,
        p1_o       => p1_o,
        p1_limp_o  => p1_limp_o
        p1_low_imp_o => p1_low_imp_o
      );
      );
  end generate;
  end generate;
 
 
  skip_p1: if include_port1_g = 0 generate
  skip_p1: if include_port1_g = 0 generate
    p1_data_s <= (others => bus_idle_level_c);
    p1_data_s <= (others => bus_idle_level_c);
    p1_o      <= (others => '0');
    p1_o      <= (others => '0');
    p1_limp_o <= '0';
    p1_low_imp_o <= '0';
  end generate;
  end generate;
 
 
  use_p2: if include_port2_g = 1 generate
  use_p2: if include_port2_g = 1 generate
    p2_b : p2
    p2_b : p2
      port map (
      port map (
Line 556... Line 556...
        output_pch_i => p2_output_pch_s,
        output_pch_i => p2_output_pch_s,
        output_exp_i => p2_output_exp_s,
        output_exp_i => p2_output_exp_s,
        pch_i        => pmem_addr_s(11 downto 8),
        pch_i        => pmem_addr_s(11 downto 8),
        p2_i         => p2_i,
        p2_i         => p2_i,
        p2_o         => p2_o,
        p2_o         => p2_o,
        p2_limp_o    => p2_limp_o
        p2_low_imp_o => p2_low_imp_o
      );
      );
  end generate;
  end generate;
 
 
  skip_p2: if include_port2_g = 0 generate
  skip_p2: if include_port2_g = 0 generate
    p2_data_s <= (others => bus_idle_level_c);
    p2_data_s <= (others => bus_idle_level_c);
    p2_o      <= (others => '0');
    p2_o      <= (others => '0');
    p2_limp_o <= '0';
    p2_low_imp_o <= '0';
  end generate;
  end generate;
 
 
  pmem_ctrl_b : pmem_ctrl
  pmem_ctrl_b : pmem_ctrl
    port map (
    port map (
      clk_i             => clk_i,
      clk_i             => clk_i,
Line 630... Line 630...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2004/03/28 21:27:50  arniml
 
-- update wiring for DA support
 
--
-- Revision 1.2  2004/03/28 13:13:20  arniml
-- Revision 1.2  2004/03/28 13:13:20  arniml
-- connect control signal for Port 2 expander
-- connect control signal for Port 2 expander
--
--
-- Revision 1.1  2004/03/23 21:31:53  arniml
-- Revision 1.1  2004/03/23 21:31:53  arniml
-- initial check-in
-- initial check-in

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.