OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_4_beta/] [bench/] [vhdl/] [tb.vhd] - Diff between revs 10 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 19
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t48_core.
-- The testbench for t48_core.
--
--
-- $Id: tb.vhd,v 1.2 2004-03-24 23:22:35 arniml Exp $
-- $Id: tb.vhd,v 1.3 2004-03-26 22:39:28 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 315... Line 315...
        wait on tb_accu_s;
        wait on tb_accu_s;
        if tb_accu_s = "01010101" then
        if tb_accu_s = "01010101" then
          wait on tb_accu_s;
          wait on tb_accu_s;
          if tb_accu_s = "00000001" then
          if tb_accu_s = "00000001" then
            assert false
            assert false
              report "Simulation PASS."
              report "Simulation Result: PASS."
              severity note;
              severity note;
          else
          else
            assert false
            assert false
              report "Simulation FAIL."
              report "Simulation Result: FAIL."
              severity note;
              severity note;
          end if;
          end if;
 
 
          assert false
          assert false
            report "End of simulation reached."
            report "End of simulation reached."
Line 342... Line 342...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/03/24 23:22:35  arniml
 
-- put ext_ram on falling clock edge to sample the write enable properly
 
--
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.