OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_4_beta/] [bench/] [vhdl/] [tb.vhd] - Diff between revs 56 and 80

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 56 Rev 80
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t48_core.
-- The testbench for t48_core.
--
--
-- $Id: tb.vhd,v 1.6 2004-04-14 20:57:44 arniml Exp $
-- $Id: tb.vhd,v 1.7 2004-04-25 16:23:21 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 58... Line 58...
architecture behav of tb is
architecture behav of tb is
 
 
  -- clock period, 11 MHz
  -- clock period, 11 MHz
  constant period_c : time := 90 ns;
  constant period_c : time := 90 ns;
 
 
 
  component if_timing
 
    port(
 
      xtal_i   : in std_logic;
 
      ale_i    : in std_logic;
 
      psen_n_i : in std_logic;
 
      rd_n_i   : in std_logic;
 
      wr_n_i   : in std_logic;
 
      prog_n_i : in std_logic;
 
      db_bus_i : in std_logic_vector(7 downto 0);
 
      p2_i     : in std_logic_vector(7 downto 0)
 
    );
 
  end component;
 
 
  signal xtal_s          : std_logic;
  signal xtal_s          : std_logic;
  signal xtal_n_s        : std_logic;
  signal xtal_n_s        : std_logic;
  signal res_n_s         : std_logic;
  signal res_n_s         : std_logic;
  signal xtal3_s         : std_logic;
  signal xtal3_s         : std_logic;
  signal int_n_s         : std_logic;
  signal int_n_s         : std_logic;
Line 77... Line 90...
  signal t48_p1_s        : std_logic_vector( 7 downto 0);
  signal t48_p1_s        : std_logic_vector( 7 downto 0);
  signal p1_low_imp_s    : std_logic;
  signal p1_low_imp_s    : std_logic;
  signal p2_s            : std_logic_vector( 7 downto 0);
  signal p2_s            : std_logic_vector( 7 downto 0);
  signal t48_p2_s        : std_logic_vector( 7 downto 0);
  signal t48_p2_s        : std_logic_vector( 7 downto 0);
  signal p2_low_imp_s    : std_logic;
  signal p2_low_imp_s    : std_logic;
 
  signal psen_n_s        : std_logic;
  signal prog_n_s        : std_logic;
  signal prog_n_s        : std_logic;
 
 
  signal bus_s           : std_logic_vector( 7 downto 0);
  signal bus_s           : std_logic_vector( 7 downto 0);
  signal t48_bus_s       : std_logic_vector( 7 downto 0);
  signal t48_bus_s       : std_logic_vector( 7 downto 0);
  signal bus_dir_s       : std_logic;
  signal bus_dir_s       : std_logic;
Line 154... Line 168...
      t0_o         => open,
      t0_o         => open,
      t0_dir_o     => open,
      t0_dir_o     => open,
      int_n_i      => int_n_s,
      int_n_i      => int_n_s,
      ea_i         => zero_s,
      ea_i         => zero_s,
      rd_n_o       => rd_n_s,
      rd_n_o       => rd_n_s,
      psen_n_o     => open,
      psen_n_o     => psen_n_s,
      wr_n_o       => wr_n_s,
      wr_n_o       => wr_n_s,
      ale_o        => ale_s,
      ale_o        => ale_s,
      db_i         => bus_s,
      db_i         => bus_s,
      db_o         => t48_bus_s,
      db_o         => t48_bus_s,
      db_dir_o     => bus_dir_s,
      db_dir_o     => bus_dir_s,
Line 179... Line 193...
      dmem_data_o  => ram_data_to_s,
      dmem_data_o  => ram_data_to_s,
      pmem_addr_o  => rom_addr_s,
      pmem_addr_o  => rom_addr_s,
      pmem_data_i  => rom_data_s
      pmem_data_i  => rom_data_s
    );
    );
 
 
 
  if_timing_b : if_timing
 
    port map (
 
      xtal_i   => xtal_s,
 
      ale_i    => ale_s,
 
      psen_n_i => psen_n_s,
 
      rd_n_i   => rd_n_s,
 
      wr_n_i   => wr_n_s,
 
      prog_n_i => prog_n_s,
 
      db_bus_i => bus_s,
 
      p2_i     => p2_s
 
    );
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Port logic
  -- Port logic
  --
  --
  ports: process (t48_p1_s,
  ports: process (t48_p1_s,
Line 347... Line 373...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.6  2004/04/14 20:57:44  arniml
 
-- wait for instruction strobe after final end-of-simulation detection
 
-- this ensures that the last mov instruction is part of the dump and
 
-- enables 100% matching with i8039 simulator
 
--
-- Revision 1.5  2004/03/29 19:45:15  arniml
-- Revision 1.5  2004/03/29 19:45:15  arniml
-- rename pX_limp to pX_low_imp
-- rename pX_limp to pX_low_imp
--
--
-- Revision 1.4  2004/03/28 21:30:25  arniml
-- Revision 1.4  2004/03/28 21:30:25  arniml
-- connect prog_n_o
-- connect prog_n_o

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.