OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_4_beta/] [rtl/] [vhdl/] [t48_comp_pack-p.vhd] - Diff between revs 32 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 38
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t48_comp_pack-p.vhd,v 1.4 2004-03-29 19:39:58 arniml Exp $
-- $Id: t48_comp_pack-p.vhd,v 1.5 2004-04-04 14:18:53 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 43... Line 43...
      alu_op_i           : in  alu_op_t;
      alu_op_i           : in  alu_op_t;
      use_carry_i        : in  boolean;
      use_carry_i        : in  boolean;
      da_low_i           : in  boolean;
      da_low_i           : in  boolean;
      da_high_i          : in  boolean;
      da_high_i          : in  boolean;
      da_overflow_o      : out boolean;
      da_overflow_o      : out boolean;
 
      accu_low_i         : in  boolean;
      p06_temp_reg_i     : in  boolean;
      p06_temp_reg_i     : in  boolean;
      p60_temp_reg_i     : in  boolean
      p60_temp_reg_i     : in  boolean
    );
    );
  end component;
  end component;
 
 
Line 168... Line 169...
      psw_write_sp_o         : out boolean;
      psw_write_sp_o         : out boolean;
      alu_carry_i            : in  std_logic;
      alu_carry_i            : in  std_logic;
      alu_op_o               : out alu_op_t;
      alu_op_o               : out alu_op_t;
      alu_da_low_o           : out boolean;
      alu_da_low_o           : out boolean;
      alu_da_high_o          : out boolean;
      alu_da_high_o          : out boolean;
 
      alu_accu_low_o         : out boolean;
      alu_da_overflow_i      : in  boolean;
      alu_da_overflow_i      : in  boolean;
      alu_p06_temp_reg_o     : out boolean;
      alu_p06_temp_reg_o     : out boolean;
      alu_p60_temp_reg_o     : out boolean;
      alu_p60_temp_reg_o     : out boolean;
      alu_use_carry_o        : out boolean;
      alu_use_carry_o        : out boolean;
      bus_output_pcl_o       : out boolean;
      bus_output_pcl_o       : out boolean;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.