OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_4_beta/] [sim/] [rtl_sim/] [Makefile.ghdl] - Diff between revs 71 and 75

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 71 Rev 75
Line 78... Line 78...
cond_branch_rtl_c0 = $(LIB_WORK)/cond_branch-c.o
cond_branch_rtl_c0 = $(LIB_WORK)/cond_branch-c.o
cond_branch_pack = $(LIB_WORK)/cond_branch_pack-p.o
cond_branch_pack = $(LIB_WORK)/cond_branch_pack-p.o
cond_branch = $(LIB_WORK)/cond_branch.o
cond_branch = $(LIB_WORK)/cond_branch.o
clock_ctrl_rtl_c0 = $(LIB_WORK)/clock_ctrl-c.o
clock_ctrl_rtl_c0 = $(LIB_WORK)/clock_ctrl-c.o
clock_ctrl = $(LIB_WORK)/clock_ctrl.o
clock_ctrl = $(LIB_WORK)/clock_ctrl.o
clk_gate_rtl_c0 = $(LIB_WORK)/clk_gate-c.o
 
clk_gate = $(LIB_WORK)/clk_gate.o
 
bus_mux_rtl_c0 = $(LIB_WORK)/bus_mux-c.o
bus_mux_rtl_c0 = $(LIB_WORK)/bus_mux-c.o
bus_mux = $(LIB_WORK)/bus_mux.o
bus_mux = $(LIB_WORK)/bus_mux.o
alu_rtl_c0 = $(LIB_WORK)/alu-c.o
alu_rtl_c0 = $(LIB_WORK)/alu-c.o
alu_pack = $(LIB_WORK)/alu_pack-p.o
alu_pack = $(LIB_WORK)/alu_pack-p.o
alu = $(LIB_WORK)/alu.o
alu = $(LIB_WORK)/alu.o
#
#
##############################################################################
##############################################################################
 
 
 
 
##############################################################################
##############################################################################
 
# The default target for elaboration
 
#
 
.PHONY: all
 
all: elaborate
 
#
 
##############################################################################
 
 
 
 
 
##############################################################################
# The elaboration targets
# The elaboration targets
#
#
tb_elab       = tb_behav_c0
tb_elab       = tb_behav_c0
tb_t8048_elab = tb_t8048_behav_c0
tb_t8048_elab = tb_t8048_behav_c0
tb_t8039_elab = tb_t8039_behav_c0
tb_t8039_elab = tb_t8039_behav_c0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.