OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_6_1_beta/] [rtl/] [vhdl/] [int.vhd] - Diff between revs 129 and 179

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 129 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Interrupt Controller.
-- The Interrupt Controller.
-- It collects the interrupt sources and notifies the decoder.
-- It collects the interrupt sources and notifies the decoder.
--
--
-- $Id: int.vhd,v 1.3 2004-07-11 16:51:33 arniml Exp $
-- $Id: int.vhd,v 1.4 2005-06-11 10:08:43 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 47... Line 47...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.mstate_t;
use work.t48_pack.mstate_t;
 
 
entity int is
entity t48_int is
 
 
  port (
  port (
    clk_i             : in  std_logic;
    clk_i             : in  std_logic;
    res_i             : in  std_logic;
    res_i             : in  std_logic;
    en_clk_i          : in  boolean;
    en_clk_i          : in  boolean;
Line 72... Line 72...
    int_executed_i    : in  boolean;
    int_executed_i    : in  boolean;
    int_pending_o     : out boolean;
    int_pending_o     : out boolean;
    int_in_progress_o : out boolean
    int_in_progress_o : out boolean
  );
  );
 
 
end int;
end t48_int;
 
 
 
 
use work.t48_pack.all;
use work.t48_pack.all;
 
 
architecture rtl of int is
architecture rtl of t48_int is
 
 
  constant tim_int_c : std_logic := '0';
  constant tim_int_c : std_logic := '0';
  constant ext_int_c : std_logic := '1';
  constant ext_int_c : std_logic := '1';
 
 
  type int_state_t is (IDLE, PENDING, INT);
  type int_state_t is (IDLE, PENDING, INT);
Line 234... Line 234...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2004/07/11 16:51:33  arniml
 
-- cleanup copyright notice
 
--
-- Revision 1.2  2004/06/30 21:18:28  arniml
-- Revision 1.2  2004/06/30 21:18:28  arniml
-- Fix bug report:
-- Fix bug report:
-- "Program Memory bank can be switched during interrupt"
-- "Program Memory bank can be switched during interrupt"
-- int module emits int_in_progress signal that is used inside the decoder
-- int module emits int_in_progress signal that is used inside the decoder
-- to hold mb low for JMP and CALL during interrupts
-- to hold mb low for JMP and CALL during interrupts
--
--
-- Revision 1.1  2004/03/23 21:31:52  arniml
-- Revision 1.1  2004/03/23 21:31:52  arniml
-- initial check-in
-- initial check-in
--
--
--
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.