URL
https://opencores.org/ocsvn/t48/t48/trunk
[/] [t48/] [tags/] [rel_0_6_beta/] [rtl/] [vhdl/] [system/] [t48_system_comp_pack-p.vhd] - Diff between revs 165 and 168
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 165 |
Rev 168 |
Line 1... |
Line 1... |
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
--
|
--
|
-- $Id: t48_system_comp_pack-p.vhd,v 1.4 2005-05-05 19:49:58 arniml Exp $
|
-- $Id: t48_system_comp_pack-p.vhd,v 1.5 2005-05-08 10:36:24 arniml Exp $
|
--
|
--
|
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
|
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
|
--
|
--
|
-- All rights reserved
|
-- All rights reserved
|
--
|
--
|
Line 19... |
Line 19... |
res_i : in std_logic;
|
res_i : in std_logic;
|
en_clk_o : out std_logic;
|
en_clk_o : out std_logic;
|
ale_i : in std_logic;
|
ale_i : in std_logic;
|
rd_n_i : in std_logic;
|
rd_n_i : in std_logic;
|
wr_n_i : in std_logic;
|
wr_n_i : in std_logic;
|
sel_range_i : in std_logic_vector( 1 downto 0);
|
adr_i : in std_logic;
|
db_bus_i : in std_logic_vector( 7 downto 0);
|
db_bus_i : in std_logic_vector( 7 downto 0);
|
db_bus_o : out std_logic_vector( 7 downto 0);
|
db_bus_o : out std_logic_vector( 7 downto 0);
|
wb_cyc_o : out std_logic;
|
wb_cyc_o : out std_logic;
|
wb_stb_o : out std_logic;
|
wb_stb_o : out std_logic;
|
wb_we_o : out std_logic;
|
wb_we_o : out std_logic;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.