OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [alu.vhd] - Diff between revs 77 and 179

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 77 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Arithmetic Logic Unit (ALU).
-- The Arithmetic Logic Unit (ALU).
-- It contains the ALU core plus the Accumulator and the Temp Reg.
-- It contains the ALU core plus the Accumulator and the Temp Reg.
--
--
-- $Id: alu.vhd,v 1.8 2004-04-24 23:43:56 arniml Exp $
-- $Id: alu.vhd,v 1.9 2005-06-11 10:08:43 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 46... Line 46...
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.word_t;
use work.t48_pack.word_t;
use work.alu_pack.alu_op_t;
use work.t48_alu_pack.alu_op_t;
 
 
entity alu is
entity t48_alu is
 
 
  port (
  port (
    -- Global Interface -------------------------------------------------------
    -- Global Interface -------------------------------------------------------
    clk_i              : in  std_logic;
    clk_i              : in  std_logic;
    res_i              : in  std_logic;
    res_i              : in  std_logic;
Line 75... Line 75...
    accu_low_i         : in  boolean;
    accu_low_i         : in  boolean;
    p06_temp_reg_i     : in  boolean;
    p06_temp_reg_i     : in  boolean;
    p60_temp_reg_i     : in  boolean
    p60_temp_reg_i     : in  boolean
  );
  );
 
 
end alu;
end t48_alu;
 
 
 
 
library ieee;
library ieee;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.t48_pack.clk_active_c;
use work.t48_pack.clk_active_c;
use work.t48_pack.res_active_c;
use work.t48_pack.res_active_c;
use work.t48_pack.bus_idle_level_c;
use work.t48_pack.bus_idle_level_c;
use work.t48_pack.nibble_t;
use work.t48_pack.nibble_t;
use work.alu_pack.all;
use work.t48_alu_pack.all;
 
 
-- pragma translate_off
-- pragma translate_off
use work.t48_tb_pack.tb_accu_s;
use work.t48_tb_pack.tb_accu_s;
-- pragma translate_on
-- pragma translate_on
 
 
architecture rtl of alu is
architecture rtl of t48_alu is
 
 
  -- the Accumulator and Temp Reg
  -- the Accumulator and Temp Reg
  signal accumulator_q,
  signal accumulator_q,
         accu_shadow_q,
         accu_shadow_q,
         temp_req_q     : word_t;
         temp_req_q     : word_t;
Line 417... Line 417...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.8  2004/04/24 23:43:56  arniml
 
-- move from std_logic_arith to numeric_std
 
--
-- Revision 1.7  2004/04/07 22:09:03  arniml
-- Revision 1.7  2004/04/07 22:09:03  arniml
-- remove unused signals
-- remove unused signals
--
--
-- Revision 1.6  2004/04/07 20:56:23  arniml
-- Revision 1.6  2004/04/07 20:56:23  arniml
-- default assignment for aux_carry_o
-- default assignment for aux_carry_o

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.