OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [alu.vhd] - Diff between revs 40 and 43

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 40 Rev 43
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Arithmetic Logic Unit (ALU).
-- The Arithmetic Logic Unit (ALU).
-- It contains the ALU core plus the Accumulator and the Temp Reg.
-- It contains the ALU core plus the Accumulator and the Temp Reg.
--
--
-- $Id: alu.vhd,v 1.4 2004-04-06 18:10:41 arniml Exp $
-- $Id: alu.vhd,v 1.5 2004-04-06 20:21:53 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 188... Line 188...
  --   All operations defined in alu_op_t are handled here.
  --   All operations defined in alu_op_t are handled here.
  --
  --
  alu_core: process (in_a_s,
  alu_core: process (in_a_s,
                     in_b_s,
                     in_b_s,
                     alu_op_i,
                     alu_op_i,
 
                     carry_i,
 
                     use_carry_i,
                     add_result_s)
                     add_result_s)
 
 
  begin
  begin
    -- default assigments
    -- default assigments
    data_s      <= (others => '0');
    data_s      <= (others => '0');
Line 417... Line 419...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2004/04/06 18:10:41  arniml
 
-- rework adder and force resource sharing between ADD, INC and DEC
 
--
-- Revision 1.3  2004/04/04 14:18:52  arniml
-- Revision 1.3  2004/04/04 14:18:52  arniml
-- add measures to implement XCHD
-- add measures to implement XCHD
--
--
-- Revision 1.2  2004/03/28 21:08:51  arniml
-- Revision 1.2  2004/03/28 21:08:51  arniml
-- support for DA instruction
-- support for DA instruction

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.