OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [bus_mux.vhd] - Diff between revs 4 and 179

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The T48 Bus Connector.
-- The T48 Bus Connector.
-- Multiplexes all drivers of the T48 bus.
-- Multiplexes all drivers of the T48 bus.
--
--
-- $Id: bus_mux.vhd,v 1.1 2004-03-23 21:31:52 arniml Exp $
-- $Id: bus_mux.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 47... Line 47...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.word_t;
use work.t48_pack.word_t;
 
 
entity bus_mux is
entity t48_bus_mux is
 
 
  port (
  port (
    alu_data_i : in  word_t;
    alu_data_i : in  word_t;
    bus_data_i : in  word_t;
    bus_data_i : in  word_t;
    dec_data_i : in  word_t;
    dec_data_i : in  word_t;
Line 62... Line 62...
    psw_data_i : in  word_t;
    psw_data_i : in  word_t;
    tim_data_i : in  word_t;
    tim_data_i : in  word_t;
    data_o     : out word_t
    data_o     : out word_t
  );
  );
 
 
end bus_mux;
end t48_bus_mux;
 
 
 
 
use work.t48_pack.bus_idle_level_c;
use work.t48_pack.bus_idle_level_c;
 
 
architecture rtl of bus_mux is
architecture rtl of t48_bus_mux is
 
 
begin
begin
 
 
  or_tree: if bus_idle_level_c = '0' generate
  or_tree: if bus_idle_level_c = '0' generate
    data_o <= alu_data_i or
    data_o <= alu_data_i or
Line 102... Line 102...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:52  arniml
 
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.