OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [db_bus.vhd] - Diff between revs 4 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 37
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The BUS unit.
-- The BUS unit.
-- Implements the BUS port logic.
-- Implements the BUS port logic.
--
--
-- $Id: db_bus.vhd,v 1.1 2004-03-23 21:31:52 arniml Exp $
-- $Id: db_bus.vhd,v 1.2 2004-04-04 14:15:45 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 96... Line 96...
  --   Implements the BUS output register.
  --   Implements the BUS output register.
  --
  --
  bus_regs: process (res_i, clk_i)
  bus_regs: process (res_i, clk_i)
  begin
  begin
    if res_i = res_active_c then
    if res_i = res_active_c then
      bus_q      <= (others => '1');
      bus_q      <= (others => '0');
      db_dir_q   <= '0';
      db_dir_q   <= '0';
 
 
    elsif clk_i'event and clk_i = clk_active_c then
    elsif clk_i'event and clk_i = clk_active_c then
      if en_clk_i then
      if en_clk_i then
 
 
Line 139... Line 139...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:52  arniml
 
-- initial check-in
 
--
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.