OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [decoder.vhd] - Diff between revs 215 and 220

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 215 Rev 220
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Decoder unit.
-- The Decoder unit.
-- It decodes the instruction opcodes and executes them.
-- It decodes the instruction opcodes and executes them.
--
--
-- $Id: decoder.vhd,v 1.24 2005-11-14 21:12:29 arniml Exp $
-- $Id: decoder.vhd,v 1.25 2006-06-20 00:46:03 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 65... Line 65...
    -- Global Interface -------------------------------------------------------
    -- Global Interface -------------------------------------------------------
    clk_i                  : in  std_logic;
    clk_i                  : in  std_logic;
    res_i                  : in  std_logic;
    res_i                  : in  std_logic;
    en_clk_i               : in  boolean;
    en_clk_i               : in  boolean;
    xtal_i                 : in  std_logic;
    xtal_i                 : in  std_logic;
 
    xtal_en_i              : in  boolean;
    ea_i                   : in  std_logic;
    ea_i                   : in  std_logic;
    ale_i                  : in  boolean;
    ale_i                  : in  boolean;
    int_n_i                : in  std_logic;
    int_n_i                : in  std_logic;
    t0_dir_o               : out std_logic;
    t0_dir_o               : out std_logic;
    -- T48 Bus Interface ------------------------------------------------------
    -- T48 Bus Interface ------------------------------------------------------
Line 270... Line 271...
    port map (
    port map (
      clk_i             => clk_i,
      clk_i             => clk_i,
      res_i             => res_i,
      res_i             => res_i,
      en_clk_i          => en_clk_i,
      en_clk_i          => en_clk_i,
      xtal_i            => xtal_i,
      xtal_i            => xtal_i,
 
      xtal_en_i         => xtal_en_i,
      clk_mstate_i      => clk_mstate_i,
      clk_mstate_i      => clk_mstate_i,
      jtf_executed_i    => jtf_executed_s,
      jtf_executed_i    => jtf_executed_s,
      tim_overflow_i    => tim_overflow_i,
      tim_overflow_i    => tim_overflow_i,
      tf_o              => tf_s,
      tf_o              => tf_s,
      en_tcnti_i        => en_tcnti_s,
      en_tcnti_i        => en_tcnti_s,
Line 1970... Line 1972...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.24  2005/11/14 21:12:29  arniml
 
-- suppress p2_output_pch_o when MOVX operation is accessing the
 
-- external memory
 
--
-- Revision 1.23  2005/11/07 19:25:01  arniml
-- Revision 1.23  2005/11/07 19:25:01  arniml
-- fix sensitivity list
-- fix sensitivity list
--
--
-- Revision 1.22  2005/11/01 21:25:37  arniml
-- Revision 1.22  2005/11/01 21:25:37  arniml
-- * suppress p2_output_pch_o when p2_output_exp is active
-- * suppress p2_output_pch_o when p2_output_exp is active

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.