OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [psw.vhd] - Diff between revs 66 and 73

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 66 Rev 73
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Program Status Word (PSW).
-- The Program Status Word (PSW).
-- Implements the PSW with its special bits.
-- Implements the PSW with its special bits.
--
--
-- $Id: psw.vhd,v 1.4 2004-04-18 18:59:01 arniml Exp $
-- $Id: psw.vhd,v 1.5 2004-04-24 11:25:39 arniml Exp $
--
--
-- All rights reserved
-- All rights reserved
--
--
-- Redistribution and use in source and synthezised forms, with or without
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
Line 100... Line 100...
  -- the Stack Pointer
  -- the Stack Pointer
  signal sp_q  : unsigned(2 downto 0);
  signal sp_q  : unsigned(2 downto 0);
 
 
  -- pragma translate_off
  -- pragma translate_off
  signal psw_s : word_t;
  signal psw_s : word_t;
  signal dummy_s : std_logic;
 
  -- pragma translate_on
  -- pragma translate_on
 
 
begin
begin
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 206... Line 205...
  carry_o     <= psw_q(carry_c);
  carry_o     <= psw_q(carry_c);
  aux_carry_o <= psw_q(aux_carry_c);
  aux_carry_o <= psw_q(aux_carry_c);
  f0_o        <= psw_q(f0_c);
  f0_o        <= psw_q(f0_c);
  bs_o        <= psw_q(bs_c);
  bs_o        <= psw_q(bs_c);
 
 
  -- pragma translate_off
 
  -- workaround for GHDL 0.11
 
  dummy_s     <= '0';
 
  -- pragma translate_on
 
 
 
end rtl;
end rtl;
 
 
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2004/04/18 18:59:01  arniml
 
-- add temporary workaround for GHDL 0.11
 
--
-- Revision 1.3  2004/04/04 14:15:45  arniml
-- Revision 1.3  2004/04/04 14:15:45  arniml
-- add dump_compare support
-- add dump_compare support
--
--
-- Revision 1.2  2004/03/28 21:28:13  arniml
-- Revision 1.2  2004/03/28 21:28:13  arniml
-- take auxiliary carry from direct ALU connection
-- take auxiliary carry from direct ALU connection

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.