Line 1... |
Line 1... |
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
--
|
--
|
-- $Id: t48_system_comp_pack-p.vhd,v 1.6 2005-06-11 10:16:05 arniml Exp $
|
-- $Id: t48_system_comp_pack-p.vhd,v 1.7 2005-11-01 21:37:10 arniml Exp $
|
--
|
--
|
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
|
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
|
--
|
--
|
-- All rights reserved
|
-- All rights reserved
|
--
|
--
|
Line 55... |
Line 55... |
db_o : out std_logic_vector( 7 downto 0);
|
db_o : out std_logic_vector( 7 downto 0);
|
db_dir_o : out std_logic;
|
db_dir_o : out std_logic;
|
t1_i : in std_logic;
|
t1_i : in std_logic;
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_low_imp_o : out std_logic;
|
p2l_low_imp_o : out std_logic;
|
|
p2h_low_imp_o : out std_logic;
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_low_imp_o : out std_logic;
|
p1_low_imp_o : out std_logic;
|
prog_n_o : out std_logic
|
prog_n_o : out std_logic
|
);
|
);
|
Line 86... |
Line 87... |
db_o : out std_logic_vector( 7 downto 0);
|
db_o : out std_logic_vector( 7 downto 0);
|
db_dir_o : out std_logic;
|
db_dir_o : out std_logic;
|
t1_i : in std_logic;
|
t1_i : in std_logic;
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_low_imp_o : out std_logic;
|
p2l_low_imp_o : out std_logic;
|
|
p2h_low_imp_o : out std_logic;
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_low_imp_o : out std_logic;
|
p1_low_imp_o : out std_logic;
|
prog_n_o : out std_logic
|
prog_n_o : out std_logic
|
);
|
);
|
Line 114... |
Line 116... |
wr_n_o : out std_logic;
|
wr_n_o : out std_logic;
|
ale_o : out std_logic;
|
ale_o : out std_logic;
|
t1_i : in std_logic;
|
t1_i : in std_logic;
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_i : in std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_o : out std_logic_vector( 7 downto 0);
|
p2_low_imp_o : out std_logic;
|
p2l_low_imp_o : out std_logic;
|
|
p2h_low_imp_o : out std_logic;
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_i : in std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_o : out std_logic_vector( 7 downto 0);
|
p1_low_imp_o : out std_logic;
|
p1_low_imp_o : out std_logic;
|
prog_n_o : out std_logic;
|
prog_n_o : out std_logic;
|
wb_cyc_o : out std_logic;
|
wb_cyc_o : out std_logic;
|