OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t8039.vhd] - Diff between revs 62 and 107

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 62 Rev 107
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T8039 Microcontroller System
-- T8039 Microcontroller System
--
--
-- $Id: t8039.vhd,v 1.1 2004-04-18 18:51:10 arniml Exp $
-- $Id: t8039.vhd,v 1.2 2004-05-20 21:53:42 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 87... Line 87...
  signal dmem_we_s        : std_logic;
  signal dmem_we_s        : std_logic;
  signal dmem_data_from_s : std_logic_vector( 7 downto 0);
  signal dmem_data_from_s : std_logic_vector( 7 downto 0);
  signal dmem_data_to_s   : std_logic_vector( 7 downto 0);
  signal dmem_data_to_s   : std_logic_vector( 7 downto 0);
  signal pmem_data_s      : std_logic_vector( 7 downto 0);
  signal pmem_data_s      : std_logic_vector( 7 downto 0);
 
 
 
  signal vdd_s            : std_logic;
 
 
begin
begin
 
 
  -- no Program memory available
  -- no Program memory available
  pmem_data_s <= (others => '0');
  pmem_data_s <= (others => '0');
 
  vdd_s       <= '1';
 
 
  t48_core_b : t48_core
  t48_core_b : t48_core
    generic map (
    generic map (
      xtal_div_3_g        => 1,
      xtal_div_3_g        => 1,
      register_mnemonic_g => 1,
      register_mnemonic_g => 1,
Line 109... Line 112...
      reset_i      => reset_n_i,
      reset_i      => reset_n_i,
      t0_i         => t0_b,
      t0_i         => t0_b,
      t0_o         => t0_s,
      t0_o         => t0_s,
      t0_dir_o     => t0_dir_s,
      t0_dir_o     => t0_dir_s,
      int_n_i      => int_n_i,
      int_n_i      => int_n_i,
      ea_i         => ea_i,
      ea_i         => vdd_s,
      rd_n_o       => rd_n_o,
      rd_n_o       => rd_n_o,
      psen_n_o     => psen_n_o,
      psen_n_o     => psen_n_o,
      wr_n_o       => wr_n_o,
      wr_n_o       => wr_n_o,
      ale_o        => ale_o,
      ale_o        => ale_o,
      db_i         => db_b,
      db_i         => db_b,
Line 218... Line 221...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/04/18 18:51:10  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.