OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t8048_notri.vhd] - Diff between revs 149 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 149 Rev 153
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T8048 Microcontroller System
-- T8048 Microcontroller System
-- 8048 toplevel without tri-states
-- 8048 toplevel without tri-states
--
--
-- $Id: t8048_notri.vhd,v 1.2 2004-12-01 23:08:08 arniml Exp $
-- $Id: t8048_notri.vhd,v 1.3 2004-12-02 22:08:42 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 47... Line 47...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
entity t8048_notri is
entity t8048_notri is
 
 
 
  generic (
 
    gate_port_input_g : integer := 1
 
  );
 
 
  port (
  port (
    xtal_i       : in  std_logic;
    xtal_i       : in  std_logic;
    reset_n_i    : in  std_logic;
    reset_n_i    : in  std_logic;
    t0_i         : in  std_logic;
    t0_i         : in  std_logic;
    t0_o         : out std_logic;
    t0_o         : out std_logic;
Line 97... Line 101...
  signal pmem_addr_s      : std_logic_vector(11 downto 0);
  signal pmem_addr_s      : std_logic_vector(11 downto 0);
  signal pmem_data_s      : std_logic_vector( 7 downto 0);
  signal pmem_data_s      : std_logic_vector( 7 downto 0);
 
 
  signal ea_s             : std_logic;
  signal ea_s             : std_logic;
 
 
 
  signal p1_in_s,
 
         p1_out_s         : std_logic_vector( 7 downto 0);
 
  signal p2_in_s,
 
         p2_out_s         : std_logic_vector( 7 downto 0);
 
 
begin
begin
 
 
 
  -----------------------------------------------------------------------------
 
  -- Check generics for valid values.
 
  -----------------------------------------------------------------------------
 
  -- pragma translate_off
 
  assert gate_port_input_g = 0 or gate_port_input_g = 1
 
    report "gate_port_input_g must be either 1 or 0!"
 
    severity failure;
 
  -- pragma translate_on
 
 
 
 
  t48_core_b : t48_core
  t48_core_b : t48_core
    generic map (
    generic map (
      xtal_div_3_g        => 1,
      xtal_div_3_g        => 1,
      register_mnemonic_g => 1,
      register_mnemonic_g => 1,
      include_port1_g     => 1,
      include_port1_g     => 1,
Line 125... Line 144...
      ale_o        => ale_o,
      ale_o        => ale_o,
      db_i         => db_i,
      db_i         => db_i,
      db_o         => db_o,
      db_o         => db_o,
      db_dir_o     => db_dir_o,
      db_dir_o     => db_dir_o,
      t1_i         => t1_i,
      t1_i         => t1_i,
      p2_i         => p2_i,
      p2_i         => p2_in_s,
      p2_o         => p2_o,
      p2_o         => p2_out_s,
      p2_low_imp_o => p2_low_imp_o,
      p2_low_imp_o => p2_low_imp_o,
      p1_i         => p1_i,
      p1_i         => p1_in_s,
      p1_o         => p1_o,
      p1_o         => p1_out_s,
      p1_low_imp_o => p1_low_imp_o,
      p1_low_imp_o => p1_low_imp_o,
      prog_n_o     => prog_n_o,
      prog_n_o     => prog_n_o,
      clk_i        => xtal_i,
      clk_i        => xtal_i,
      en_clk_i     => xtal3_s,
      en_clk_i     => xtal3_s,
      xtal3_o      => xtal3_s,
      xtal3_o      => xtal3_s,
Line 145... Line 164...
      pmem_data_i  => pmem_data_s
      pmem_data_i  => pmem_data_s
    );
    );
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
  -- Gate port 1 and 2 input bus with respetive output value
 
  -----------------------------------------------------------------------------
 
  gate_ports: if gate_port_input_g = 1 generate
 
    p1_in_s <= p1_i and p1_out_s;
 
    p2_in_s <= p2_i and p2_out_s;
 
  end generate;
 
 
 
  pass_ports: if gate_port_input_g = 0 generate
 
    p1_in_s <= p1_i;
 
    p2_in_s <= p2_i;
 
  end generate;
 
 
 
  p1_o <= p1_out_s;
 
  p2_o <= p2_out_s;
 
 
 
 
 
  -----------------------------------------------------------------------------
  -- Process ea
  -- Process ea
  --
  --
  -- Purpose:
  -- Purpose:
  --   Detects access to external program memory.
  --   Detects access to external program memory.
  --   Either by ea_i = '1' or when program memory address leaves address
  --   Either by ea_i = '1' or when program memory address leaves address
Line 204... Line 240...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/12/01 23:08:08  arniml
 
-- update
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.