OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t8050_wb.vhd] - Diff between revs 169 and 180

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 169 Rev 180
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T8048 Microcontroller System
-- T8048 Microcontroller System
-- 8050 toplevel with Wishbone interface
-- 8050 toplevel with Wishbone interface
--
--
-- $Id: t8050_wb.vhd,v 1.1 2005-05-08 10:36:59 arniml Exp $
-- $Id: t8050_wb.vhd,v 1.2 2005-06-11 10:16:05 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 92... Line 92...
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.t48_core_comp_pack.t48_core;
use work.t48_core_comp_pack.t48_core;
use work.t48_core_comp_pack.syn_rom;
use work.t48_core_comp_pack.syn_rom;
use work.t48_core_comp_pack.syn_ram;
use work.t48_core_comp_pack.syn_ram;
use work.t48_system_comp_pack.wb_master;
use work.t48_system_comp_pack.t48_wb_master;
 
 
architecture struct of t8050_wb is
architecture struct of t8050_wb is
 
 
  -- Address width of internal ROM
  -- Address width of internal ROM
  constant rom_addr_width_c : natural := 12;
  constant rom_addr_width_c : natural := 12;
Line 238... Line 238...
  end process ea;
  end process ea;
  --
  --
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
 
 
  wb_master_b : wb_master
  wb_master_b : t48_wb_master
    port map (
    port map (
      xtal_i   => xtal_i,
      xtal_i   => xtal_i,
      res_i    => reset_n_i,
      res_i    => reset_n_i,
      en_clk_o => wb_en_clk_s,
      en_clk_o => wb_en_clk_s,
      ale_i    => ale_s,
      ale_i    => ale_s,
Line 289... Line 289...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2005/05/08 10:36:59  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.