OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [wb_master.vhd] - Diff between revs 172 and 180

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 172 Rev 180
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Wishbone master module.
-- The Wishbone master module.
--
--
-- $Id: wb_master.vhd,v 1.4 2005-05-10 22:36:23 arniml Exp $
-- $Id: wb_master.vhd,v 1.5 2005-06-11 10:16:05 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 67... Line 67...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.all;
use work.t48_pack.all;
 
 
entity wb_master is
entity t48_wb_master is
 
 
  port (
  port (
    xtal_i   : in  std_logic;
    xtal_i   : in  std_logic;
    res_i    : in  std_logic;
    res_i    : in  std_logic;
    en_clk_o : out std_logic;
    en_clk_o : out std_logic;
Line 90... Line 90...
    wb_ack_i : in  std_logic;
    wb_ack_i : in  std_logic;
    wb_dat_i : in  std_logic_vector( 7 downto 0);
    wb_dat_i : in  std_logic_vector( 7 downto 0);
    wb_dat_o : out std_logic_vector( 7 downto 0)
    wb_dat_o : out std_logic_vector( 7 downto 0)
  );
  );
 
 
end wb_master;
end t48_wb_master;
 
 
 
 
architecture rtl of wb_master is
architecture rtl of t48_wb_master is
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Controller FSM
  -- Controller FSM
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  type   state_t is (IDLE, CYC, WAIT_INACT);
  type   state_t is (IDLE, CYC, WAIT_INACT);
Line 251... Line 251...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2005/05/10 22:36:23  arniml
 
-- save data from wishbone bus in register bank with wb_ack
 
-- necessary to hold data from peripheral/memory until it is read by T48
 
--
-- Revision 1.3  2005/05/08 10:36:07  arniml
-- Revision 1.3  2005/05/08 10:36:07  arniml
-- simplify address range:
-- simplify address range:
-- - configuration range
-- - configuration range
-- - Wishbone range
-- - Wishbone range
--
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.