OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [t48_comp_pack-p.vhd] - Diff between revs 119 and 162

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 119 Rev 162
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t48_comp_pack-p.vhd,v 1.7 2004-06-30 21:16:21 arniml Exp $
-- $Id: t48_comp_pack-p.vhd,v 1.8 2005-05-04 20:12:37 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
Line 72... Line 72...
      clk_i          : in  std_logic;
      clk_i          : in  std_logic;
      xtal_i         : in  std_logic;
      xtal_i         : in  std_logic;
      res_i          : in  std_logic;
      res_i          : in  std_logic;
      en_clk_i       : in  boolean;
      en_clk_i       : in  boolean;
      xtal3_o        : out boolean;
      xtal3_o        : out boolean;
 
      t0_o           : out std_logic;
      multi_cycle_i  : in  boolean;
      multi_cycle_i  : in  boolean;
      assert_psen_i  : in  boolean;
      assert_psen_i  : in  boolean;
      assert_prog_i  : in  boolean;
      assert_prog_i  : in  boolean;
      assert_rd_i    : in  boolean;
      assert_rd_i    : in  boolean;
      assert_wr_i    : in  boolean;
      assert_wr_i    : in  boolean;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.