OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [t48_core_comp_pack-p.vhd] - Diff between revs 209 and 220

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 209 Rev 220
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t48_core_comp_pack-p.vhd,v 1.3 2005-11-01 21:33:41 arniml Exp $
-- $Id: t48_core_comp_pack-p.vhd,v 1.4 2006-06-20 00:46:04 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 24... Line 24...
      sample_t1_state_g     : integer := 4
      sample_t1_state_g     : integer := 4
    );
    );
 
 
    port (
    port (
      xtal_i        : in  std_logic;
      xtal_i        : in  std_logic;
 
      xtal_en_i     : in  std_logic;
      reset_i       : in  std_logic;
      reset_i       : in  std_logic;
      t0_i          : in  std_logic;
      t0_i          : in  std_logic;
      t0_o          : out std_logic;
      t0_o          : out std_logic;
      t0_dir_o      : out std_logic;
      t0_dir_o      : out std_logic;
      int_n_i       : in  std_logic;
      int_n_i       : in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.