OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [t48_core_comp_pack-p.vhd] - Diff between revs 220 and 227

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 220 Rev 227
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t48_core_comp_pack-p.vhd,v 1.4 2006-06-20 00:46:04 arniml Exp $
-- $Id: t48_core_comp_pack-p.vhd,v 1.5 2006-06-21 01:03:28 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 59... Line 59...
      pmem_addr_o   : out std_logic_vector(11 downto 0);
      pmem_addr_o   : out std_logic_vector(11 downto 0);
      pmem_data_i   : in  std_logic_vector( 7 downto 0)
      pmem_data_i   : in  std_logic_vector( 7 downto 0)
    );
    );
  end component;
  end component;
 
 
  component syn_rom
  component generic_ram_ena
    generic (
    generic (
      address_width_g : positive := 10
      addr_width_g : integer := 10;
 
      data_width_g : integer := 8
    );
    );
    port (
    port (
      clk_i      : in  std_logic;
      clk_i      : in  std_logic;
      rom_addr_i : in  std_logic_vector(address_width_g-1 downto 0);
      a_i   : in  std_logic_vector(addr_width_g-1 downto 0);
      rom_data_o : out std_logic_vector(7 downto 0)
      we_i  : in  std_logic;
 
      ena_i : in  std_logic;
 
      d_i   : in  std_logic_vector(data_width_g-1 downto 0);
 
      d_o   : out std_logic_vector(data_width_g-1 downto 0)
    );
    );
  end component;
  end component;
 
 
  component syn_ram
  component t48_rom
    generic (
    port (
      address_width_g : positive := 8
      clk_i      : in  std_logic;
 
      rom_addr_i : in  std_logic_vector(9 downto 0);
 
      rom_data_o : out std_logic_vector(7 downto 0)
    );
    );
 
  end component;
 
 
 
  component t49_rom
    port (
    port (
      clk_i      : in  std_logic;
      clk_i      : in  std_logic;
      res_i      : in  std_logic;
      rom_addr_i : in  std_logic_vector(10 downto 0);
      ram_addr_i : in  std_logic_vector(address_width_g-1 downto 0);
      rom_data_o : out std_logic_vector( 7 downto 0)
      ram_data_i : in  std_logic_vector(7 downto 0);
 
      ram_we_i   : in  std_logic;
 
      ram_data_o : out std_logic_vector(7 downto 0)
 
    );
    );
  end component;
  end component;
 
 
end t48_core_comp_pack;
end t48_core_comp_pack;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.