OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [timer.vhd] - Diff between revs 129 and 179

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 129 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Timer/Counter unit.
-- The Timer/Counter unit.
--
--
-- $Id: timer.vhd,v 1.5 2004-07-11 16:51:33 arniml Exp $
-- $Id: timer.vhd,v 1.6 2005-06-11 10:08:43 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 47... Line 47...
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.word_t;
use work.t48_pack.word_t;
use work.t48_pack.mstate_t;
use work.t48_pack.mstate_t;
 
 
entity timer is
entity t48_timer is
 
 
  generic (
  generic (
    -- state in which T1 is sampled (3 or 4)
    -- state in which T1 is sampled (3 or 4)
    sample_t1_state_g : integer := 4
    sample_t1_state_g : integer := 4
  );
  );
Line 73... Line 73...
    start_cnt_i   : in  boolean;
    start_cnt_i   : in  boolean;
    stop_tcnt_i   : in  boolean;
    stop_tcnt_i   : in  boolean;
    overflow_o    : out std_logic
    overflow_o    : out std_logic
  );
  );
 
 
end timer;
end t48_timer;
 
 
 
 
library ieee;
library ieee;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.t48_pack.all;
use work.t48_pack.all;
 
 
architecture rtl of timer is
architecture rtl of t48_timer is
 
 
  -- the 8 bit counter core
  -- the 8 bit counter core
  signal counter_q   : unsigned(word_t'range);
  signal counter_q   : unsigned(word_t'range);
  signal overflow_q  : boolean;
  signal overflow_q  : boolean;
 
 
Line 253... Line 253...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2004/07/11 16:51:33  arniml
 
-- cleanup copyright notice
 
--
-- Revision 1.4  2004/07/04 13:06:45  arniml
-- Revision 1.4  2004/07/04 13:06:45  arniml
-- counter_q is not cleared during reset
-- counter_q is not cleared during reset
-- this would match all different descriptions of the Counter as
-- this would match all different descriptions of the Counter as
-- a) if the software assumes that the Counter is modified during reset, it
-- a) if the software assumes that the Counter is modified during reset, it
--    will initialize the Counter anyhow
--    will initialize the Counter anyhow
Line 269... Line 272...
-- increment prescaler with MSTATE4
-- increment prescaler with MSTATE4
--
--
-- Revision 1.1  2004/03/23 21:31:53  arniml
-- Revision 1.1  2004/03/23 21:31:53  arniml
-- initial check-in
-- initial check-in
--
--
--
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.