OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [timer.vhd] - Diff between revs 4 and 59

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 59
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Timer/Counter unit.
-- The Timer/Counter unit.
--
--
-- $Id: timer.vhd,v 1.1 2004-03-23 21:31:53 arniml Exp $
-- $Id: timer.vhd,v 1.2 2004-04-15 22:05:13 arniml Exp $
--
--
-- All rights reserved
-- All rights reserved
--
--
-- Redistribution and use in source and synthezised forms, with or without
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
Line 139... Line 139...
  end process t1_edge;
  end process t1_edge;
  --
  --
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
 
 
  pre_inc_s <= clk_mstate_i = MSTATE3 and prescaler_q = 31;
  pre_inc_s <= clk_mstate_i = MSTATE4 and prescaler_q = 31;
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Process inc_sel
  -- Process inc_sel
  --
  --
Line 252... Line 252...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:53  arniml
 
-- initial check-in
 
--
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.