OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [bench/] [vhdl/] [if_timing.vhd] - Diff between revs 133 and 140

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 133 Rev 140
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Interface Timing Checker.
-- Interface Timing Checker.
--
--
-- $Id: if_timing.vhd,v 1.3 2004-09-12 00:31:50 arniml Exp $
-- $Id: if_timing.vhd,v 1.4 2004-10-25 19:33:13 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 143... Line 143...
        -- tAW: Addr Setup to WR
        -- tAW: Addr Setup to WR
        assert (now - bus_change_ale_s) > (t_CY / 3 - 150 ns)
        assert (now - bus_change_ale_s) > (t_CY / 3 - 150 ns)
          report "Timing violation of tAW on WR!"
          report "Timing violation of tAW on WR!"
          severity error;
          severity error;
 
 
        -- tAW sanity check
 
        assert (now - bus_change_ale_s) < t_CY
 
          report "Timing relation between BUS and WR inconsistent!"
 
          severity error;
 
 
 
        -- WR inactive
        -- WR inactive
      when '1' =>
      when '1' =>
        -- tCC1: Control Pulse Width WR
        -- tCC1: Control Pulse Width WR
        assert (now - last_wr_n_fall_s) > (t_CY / 2 - 200 ns)
        assert (now - last_wr_n_fall_s) > (t_CY / 2 - 200 ns)
          report "Timing violation of tCC1 on WR!"
          report "Timing violation of tCC1 on WR!"
Line 590... Line 585...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2004/09/12 00:31:50  arniml
 
-- add checks for PSEN
 
--
-- Revision 1.2  2004/04/25 20:40:58  arniml
-- Revision 1.2  2004/04/25 20:40:58  arniml
-- check expander timings
-- check expander timings
--
--
-- Revision 1.1  2004/04/25 16:24:10  arniml
-- Revision 1.1  2004/04/25 16:24:10  arniml
-- initial check-in
-- initial check-in

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.