OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [bench/] [vhdl/] [if_timing.vhd] - Diff between revs 160 and 200

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 160 Rev 200
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Interface Timing Checker.
-- Interface Timing Checker.
--
--
-- $Id: if_timing.vhd,v 1.5 2004-12-03 19:58:55 arniml Exp $
-- $Id: if_timing.vhd,v 1.6 2005-11-01 21:20:36 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 307... Line 307...
  -- Check PROG
  -- Check PROG
  --
  --
  prog_check: process (prog_n_i)
  prog_check: process (prog_n_i)
  begin
  begin
    case prog_n_i is
    case prog_n_i is
 
      when '0' =>
 
        -- tCP: Port Control Setup to PROG'
 
        assert (now - last_p2_change_s) > (t_CY * 2/15 - 80 ns)
 
          report "Timing violation of tCP on P2 vs PROG'!"
 
          severity error;
 
 
      when '1' =>
      when '1' =>
        -- tPP: PROG Pulse Width
        -- tPP: PROG Pulse Width
        assert (now - last_prog_n_fall_s) > (t_CY * 7/10 - 250 ns)
        assert (now - last_prog_n_fall_s) > (t_CY * 7/10 - 250 ns)
          report "Timing violation of tPP!"
          report "Timing violation of tPP!"
          severity error;
          severity error;
Line 587... Line 593...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2004/12/03 19:58:55  arniml
 
-- add others to case statement
 
--
-- Revision 1.4  2004/10/25 19:33:13  arniml
-- Revision 1.4  2004/10/25 19:33:13  arniml
-- remove tAW sanity check
-- remove tAW sanity check
-- conflicts with OUTL A, BUS
-- conflicts with OUTL A, BUS
--
--
-- Revision 1.3  2004/09/12 00:31:50  arniml
-- Revision 1.3  2004/09/12 00:31:50  arniml

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.