OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [bench/] [vhdl/] [tb_t8039.vhd] - Diff between revs 228 and 234

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 228 Rev 234
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t8039.
-- The testbench for t8039.
--
--
-- $Id: tb_t8039.vhd,v 1.3 2006-06-21 01:04:05 arniml Exp $
-- $Id: tb_t8039.vhd,v 1.4 2006-06-22 00:21:58 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 84... Line 84...
  signal res_n_s         : std_logic;
  signal res_n_s         : std_logic;
  signal int_n_s         : std_logic;
  signal int_n_s         : std_logic;
  signal ale_s           : std_logic;
  signal ale_s           : std_logic;
  signal psen_n_s        : std_logic;
  signal psen_n_s        : std_logic;
  signal prog_n_s        : std_logic;
  signal prog_n_s        : std_logic;
  signal rom_addr_s      : std_logic_vector(11 downto 0);
 
  signal rom_data_s      : std_logic_vector( 7 downto 0);
 
  signal ram_data_to_s   : std_logic_vector( 7 downto 0);
 
  signal ram_data_from_s : std_logic_vector( 7 downto 0);
 
  signal ram_addr_s      : std_logic_vector( 7 downto 0);
 
  signal ram_we_s        : std_logic;
 
 
 
  signal p1_b : std_logic_vector( 7 downto 0);
  signal p1_b : std_logic_vector( 7 downto 0);
  signal p2_b : std_logic_vector( 7 downto 0);
  signal p2_b : std_logic_vector( 7 downto 0);
 
 
  signal db_b                : std_logic_vector( 7 downto 0);
  signal db_b                : std_logic_vector( 7 downto 0);
Line 192... Line 186...
                    p2_b,
                    p2_b,
                    db_b)
                    db_b)
  begin
  begin
    ext_mem_addr_s(11 downto 8) <= To_X01Z(p2_b(3 downto 0));
    ext_mem_addr_s(11 downto 8) <= To_X01Z(p2_b(3 downto 0));
 
 
    if ale_s = '1' then
    if ale_s'event and ale_s = '0' then
      if not is_X(db_b) then
      if not is_X(db_b) then
        ext_mem_addr_s(7 downto 0) <= db_b;
        ext_mem_addr_s(7 downto 0) <= db_b;
      else
      else
        ext_mem_addr_s(7 downto 0) <= (others => '0');
        ext_mem_addr_s(7 downto 0) <= (others => '0');
      end if;
      end if;
    end if;
    end if;
 
 
    if wr_n_s'event and wr_n_s = '1' then
    if wr_n_s'event and wr_n_s = '1' then
 
      ext_ram_we_s <= '0';
 
    end if;
 
    if wr_n_s'event and wr_n_s = '0' then
      ext_ram_we_s <= '1';
      ext_ram_we_s <= '1';
    end if;
    end if;
 
 
--    if clk_s'event then
 
--      ext_ram_we_s <= '0';
 
--    end if;
 
 
 
  end process ext_mem;
  end process ext_mem;
  --
  --
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 299... Line 292...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2006/06/21 01:04:05  arniml
 
-- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom
 
--
-- Revision 1.2  2005/11/01 21:22:28  arniml
-- Revision 1.2  2005/11/01 21:22:28  arniml
-- fix address assignment
-- fix address assignment
--
--
-- Revision 1.1  2004/04/18 19:00:07  arniml
-- Revision 1.1  2004/04/18 19:00:07  arniml
-- initial check-in
-- initial check-in

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.