OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [bench/] [vhdl/] [tb_t8039.vhd] - Diff between revs 234 and 282

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 234 Rev 282
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t8039.
-- The testbench for t8039.
--
--
-- $Id: tb_t8039.vhd,v 1.4 2006-06-22 00:21:58 arniml Exp $
-- $Id: tb_t8039.vhd,v 1.5 2008-04-28 22:13:33 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 85... Line 85...
  signal int_n_s         : std_logic;
  signal int_n_s         : std_logic;
  signal ale_s           : std_logic;
  signal ale_s           : std_logic;
  signal psen_n_s        : std_logic;
  signal psen_n_s        : std_logic;
  signal prog_n_s        : std_logic;
  signal prog_n_s        : std_logic;
 
 
 
  signal t0_b : std_logic;
 
 
  signal p1_b : std_logic_vector( 7 downto 0);
  signal p1_b : std_logic_vector( 7 downto 0);
  signal p2_b : std_logic_vector( 7 downto 0);
  signal p2_b : std_logic_vector( 7 downto 0);
 
 
  signal db_b                : std_logic_vector( 7 downto 0);
  signal db_b                : std_logic_vector( 7 downto 0);
  signal ext_mem_addr_s      : std_logic_vector(11 downto 0);
  signal ext_mem_addr_s      : std_logic_vector(11 downto 0);
Line 148... Line 150...
 
 
  t8039_b : t8039
  t8039_b : t8039
    port map (
    port map (
      xtal_i    => xtal_s,
      xtal_i    => xtal_s,
      reset_n_i => res_n_s,
      reset_n_i => res_n_s,
      t0_b      => p1_b(0),
      t0_b      => t0_b,
      int_n_i   => int_n_s,
      int_n_i   => int_n_s,
      ea_i      => one_s,
      ea_i      => one_s,
      rd_n_o    => rd_n_s,
      rd_n_o    => rd_n_s,
      psen_n_o  => psen_n_s,
      psen_n_o  => psen_n_s,
      wr_n_o    => wr_n_s,
      wr_n_o    => wr_n_s,
Line 205... Line 207...
 
 
  end process ext_mem;
  end process ext_mem;
  --
  --
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
 
  t0_b <= p1_b(0);
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- The clock generator
  -- The clock generator
  --
  --
  clk_gen: process
  clk_gen: process
  begin
  begin
Line 292... Line 296...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2006/06/22 00:21:58  arniml
 
-- cleanup & enhance external access
 
--
-- Revision 1.3  2006/06/21 01:04:05  arniml
-- Revision 1.3  2006/06/21 01:04:05  arniml
-- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom
-- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom
--
--
-- Revision 1.2  2005/11/01 21:22:28  arniml
-- Revision 1.2  2005/11/01 21:22:28  arniml
-- fix address assignment
-- fix address assignment

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.