OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [rtl/] [vhdl/] [clock_ctrl.vhd] - Diff between revs 4 and 20

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 20
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Clock Control unit.
-- The Clock Control unit.
-- Clock States and Machine Cycles are generated here.
-- Clock States and Machine Cycles are generated here.
--
--
-- $Id: clock_ctrl.vhd,v 1.1 2004-03-23 21:31:52 arniml Exp $
-- $Id: clock_ctrl.vhd,v 1.2 2004-03-28 12:55:06 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 228... Line 228...
            -- PSEN is set at the end of XTAL3
            -- PSEN is set at the end of XTAL3
            if assert_psen_i then
            if assert_psen_i then
              psen_q <= true;
              psen_q <= true;
            end if;
            end if;
 
 
 
          end if;
 
 
            -- PROG is set at the and of XTAL2
            -- PROG is set at the and of XTAL2
            if xtal2_s and multi_cycle_q and not second_cycle_q and
            if xtal2_s and multi_cycle_q and not second_cycle_q and
              assert_prog_i then
              assert_prog_i then
              prog_q <= true;
              prog_q <= true;
            end if;
            end if;
 
 
          end if;
 
 
 
          -- ALE is removed at the end of XTAL2 of every machine cycle
          -- ALE is removed at the end of XTAL2 of every machine cycle
          if xtal2_s then
          if xtal2_s then
            ale_q    <= false;
            ale_q    <= false;
          end if;
          end if;
 
 
Line 375... Line 375...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/03/23 21:31:52  arniml
 
-- initial check-in
 
--
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.