OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [bench/] [vhdl/] [tb-c.vhd] - Diff between revs 80 and 110

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 80 Rev 110
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t48_core.
-- The testbench for t48_core.
--
--
-- $Id: tb-c.vhd,v 1.2 2004-04-25 16:23:21 arniml Exp $
-- $Id: tb-c.vhd,v 1.3 2004-05-21 11:22:44 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 12... Line 12...
 
 
configuration tb_behav_c0 of tb is
configuration tb_behav_c0 of tb is
 
 
  for behav
  for behav
 
 
    for rom_4k : syn_rom
    for rom_internal_2k : lpm_rom
      use configuration work.syn_rom_lpm_c0;
      use configuration work.lpm_rom_c0;
 
    end for;
 
 
 
    for rom_external_2k : lpm_rom
 
      use configuration work.lpm_rom_c0;
    end for;
    end for;
 
 
    for ram_256 : syn_ram
    for ram_256 : syn_ram
      use configuration work.syn_ram_lpm_c0;
      use configuration work.syn_ram_lpm_c0;
    end for;
    end for;
Line 41... Line 45...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/04/25 16:23:21  arniml
 
-- added if_timing
 
--
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.