OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [bench/] [vhdl/] [tb.vhd] - Diff between revs 111 and 183

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 111 Rev 183
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t48_core.
-- The testbench for t48_core.
--
--
-- $Id: tb.vhd,v 1.10 2004-05-21 11:24:47 arniml Exp $
-- $Id: tb.vhd,v 1.11 2005-09-07 17:39:40 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 85... Line 85...
      LPM_HINT            : string    := "UNUSED"
      LPM_HINT            : string    := "UNUSED"
    );
    );
    port (
    port (
      address             : in  std_logic_vector(LPM_WIDTHAD-1 downto 0);
      address             : in  std_logic_vector(LPM_WIDTHAD-1 downto 0);
      inclock             : in  std_logic;
      inclock             : in  std_logic;
 
      outclock            : in  std_logic;
      memenab             : in  std_logic;
      memenab             : in  std_logic;
      q                   : out std_logic_vector(LPM_WIDTH-1 downto 0)
      q                   : out std_logic_vector(LPM_WIDTH-1 downto 0)
    );
    );
  end component;
  end component;
 
 
Line 160... Line 161...
      LPM_HINT            => "UNUSED"
      LPM_HINT            => "UNUSED"
    )
    )
    port map (
    port map (
      address  => rom_addr_s(10 downto 0),
      address  => rom_addr_s(10 downto 0),
      inclock  => xtal_s,
      inclock  => xtal_s,
 
      outclock => zero_s,               -- unused
      memenab  => one_s,
      memenab  => one_s,
      q        => rom_data_s
      q        => rom_data_s
    );
    );
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 184... Line 186...
      LPM_HINT            => "UNUSED"
      LPM_HINT            => "UNUSED"
    )
    )
    port map (
    port map (
      address  => ext_rom_addr_s(10 downto 0),
      address  => ext_rom_addr_s(10 downto 0),
      inclock  => xtal_s,
      inclock  => xtal_s,
 
      outclock => zero_s,               -- unused
      memenab  => one_s,
      memenab  => one_s,
      q        => ext_rom_data_s
      q        => ext_rom_data_s
    );
    );
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
Line 552... Line 555...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.10  2004/05/21 11:24:47  arniml
 
-- split 4k internal ROM into
 
--   + 2k internal ROM
 
--   + 2k external ROM
 
-- EA of t48_core is driven by MSB of internal ROM address
 
-- if upper 2k block is selected, the system switches to EA mode on the fly
 
--
-- Revision 1.9  2004/05/17 14:43:33  arniml
-- Revision 1.9  2004/05/17 14:43:33  arniml
-- add testbench peripherals for P1 and P2
-- add testbench peripherals for P1 and P2
-- this became necessary to observe a difference between externally applied
-- this became necessary to observe a difference between externally applied
-- port data and internally applied port data
-- port data and internally applied port data
--
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.