OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [bench/] [vhdl/] [tb.vhd] - Diff between revs 19 and 30

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 30
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The testbench for t48_core.
-- The testbench for t48_core.
--
--
-- $Id: tb.vhd,v 1.3 2004-03-26 22:39:28 arniml Exp $
-- $Id: tb.vhd,v 1.4 2004-03-28 21:30:25 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 77... Line 77...
  signal t48_p1_s        : std_logic_vector( 7 downto 0);
  signal t48_p1_s        : std_logic_vector( 7 downto 0);
  signal p1_limp_s       : std_logic;
  signal p1_limp_s       : std_logic;
  signal p2_s            : std_logic_vector( 7 downto 0);
  signal p2_s            : std_logic_vector( 7 downto 0);
  signal t48_p2_s        : std_logic_vector( 7 downto 0);
  signal t48_p2_s        : std_logic_vector( 7 downto 0);
  signal p2_limp_s       : std_logic;
  signal p2_limp_s       : std_logic;
 
  signal prog_n_s        : std_logic;
 
 
  signal bus_s           : std_logic_vector( 7 downto 0);
  signal bus_s           : std_logic_vector( 7 downto 0);
  signal t48_bus_s       : std_logic_vector( 7 downto 0);
  signal t48_bus_s       : std_logic_vector( 7 downto 0);
  signal bus_dir_s       : std_logic;
  signal bus_dir_s       : std_logic;
 
 
Line 166... Line 167...
      p2_o        => t48_p2_s,
      p2_o        => t48_p2_s,
      p2_limp_o   => p2_limp_s,
      p2_limp_o   => p2_limp_s,
      p1_i        => p1_s,
      p1_i        => p1_s,
      p1_o        => t48_p1_s,
      p1_o        => t48_p1_s,
      p1_limp_o   => p1_limp_s,
      p1_limp_o   => p1_limp_s,
      prog_n_o    => open,
      prog_n_o    => prog_n_s,
      clk_i       => xtal_s,
      clk_i       => xtal_s,
      en_clk_i    => xtal3_s,
      en_clk_i    => xtal3_s,
      xtal3_o     => xtal3_s,
      xtal3_o     => xtal3_s,
      dmem_addr_o => ram_addr_s,
      dmem_addr_o => ram_addr_s,
      dmem_we_o   => ram_we_s,
      dmem_we_o   => ram_we_s,
Line 342... Line 343...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2004/03/26 22:39:28  arniml
 
-- enhance simulation result string
 
--
-- Revision 1.2  2004/03/24 23:22:35  arniml
-- Revision 1.2  2004/03/24 23:22:35  arniml
-- put ext_ram on falling clock edge to sample the write enable properly
-- put ext_ram on falling clock edge to sample the write enable properly
--
--
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- Revision 1.1  2004/03/24 21:42:10  arniml
-- initial check-in
-- initial check-in

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.