OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] [rtl/] [vhdl/] [cond_branch.vhd] - Diff between revs 77 and 179

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 77 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Conditional Branch Logic unit.
-- The Conditional Branch Logic unit.
-- Decisions whether to take a jump or not are made here.
-- Decisions whether to take a jump or not are made here.
--
--
-- $Id: cond_branch.vhd,v 1.2 2004-04-24 23:44:25 arniml Exp $
-- $Id: cond_branch.vhd,v 1.3 2005-06-11 10:08:43 arniml Exp $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 47... Line 47...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t48_pack.word_t;
use work.t48_pack.word_t;
 
 
use work.cond_branch_pack.all;
use work.t48_cond_branch_pack.all;
 
 
entity cond_branch is
entity t48_cond_branch is
 
 
  port (
  port (
    -- Global Interface -------------------------------------------------------
    -- Global Interface -------------------------------------------------------
    clk_i          : in  std_logic;
    clk_i          : in  std_logic;
    res_i          : in  std_logic;
    res_i          : in  std_logic;
Line 71... Line 71...
    tf_i           : in  std_logic;
    tf_i           : in  std_logic;
    carry_i        : in  std_logic;
    carry_i        : in  std_logic;
    comp_value_i   : in  comp_value_t
    comp_value_i   : in  comp_value_t
  );
  );
 
 
end cond_branch;
end t48_cond_branch;
 
 
 
 
library ieee;
library ieee;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.t48_pack.res_active_c;
use work.t48_pack.res_active_c;
use work.t48_pack.clk_active_c;
use work.t48_pack.clk_active_c;
 
 
architecture rtl of cond_branch is
architecture rtl of t48_cond_branch is
 
 
  -- marker for branch taken
  -- marker for branch taken
  signal take_branch_s,
  signal take_branch_s,
         take_branch_q : boolean;
         take_branch_q : boolean;
 
 
Line 203... Line 203...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/04/24 23:44:25  arniml
 
-- move from std_logic_arith to numeric_std
 
--
-- Revision 1.1  2004/03/23 21:31:52  arniml
-- Revision 1.1  2004/03/23 21:31:52  arniml
-- initial check-in
-- initial check-in
--
--
--
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.