OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] [rtl/] [vhdl/] [cond_branch.vhd] - Diff between revs 292 and 295

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 292 Rev 295
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Conditional Branch Logic unit.
-- The Conditional Branch Logic unit.
-- Decisions whether to take a jump or not are made here.
-- Decisions whether to take a jump or not are made here.
--
--
-- $Id: cond_branch.vhd,v 1.3 2005-06-11 10:08:43 arniml Exp $
-- $Id: cond_branch.vhd 295 2009-04-01 19:32:48Z arniml $
--
--
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 198... Line 198...
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  take_branch_o <= take_branch_q;
  take_branch_o <= take_branch_q;
 
 
end rtl;
end rtl;
 
 
 
 
-------------------------------------------------------------------------------
 
-- File History:
 
--
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2004/04/24 23:44:25  arniml
 
-- move from std_logic_arith to numeric_std
 
--
 
-- Revision 1.1  2004/03/23 21:31:52  arniml
 
-- initial check-in
 
--
 
-------------------------------------------------------------------------------
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.