OpenCores
URL https://opencores.org/ocsvn/t51/t51/trunk

Subversion Repositories t51

[/] [t51/] [trunk/] [bench/] [vhdl/] [TestBench32.vhd] - Diff between revs 16 and 31

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 31
Line 29... Line 29...
        signal INT0                     : std_logic := '0';
        signal INT0                     : std_logic := '0';
        signal P0                       : std_logic_vector(7 downto 0);
        signal P0                       : std_logic_vector(7 downto 0);
        signal P1                       : std_logic_vector(7 downto 0);
        signal P1                       : std_logic_vector(7 downto 0);
        signal P2                       : std_logic_vector(7 downto 0);
        signal P2                       : std_logic_vector(7 downto 0);
        signal P3                       : std_logic_vector(7 downto 0);
        signal P3                       : std_logic_vector(7 downto 0);
 
        signal p3_out   : std_logic_vector(7 downto 0);
 
 
begin
begin
 
 
        u0 : entity work.T8032
        u0 : entity work.T8032
                port map(
                port map(
Line 44... Line 45...
                        STB_O => STB_O,
                        STB_O => STB_O,
                        WE_O => WE_O,
                        WE_O => WE_O,
                        ADR_O => ADR_O,
                        ADR_O => ADR_O,
                        DAT_I => DAT_I,
                        DAT_I => DAT_I,
                        DAT_O => DAT_O,
                        DAT_O => DAT_O,
                        P0 => P0,
                        P0_in => P0,
                        P1 => P1,
                        P1_in => P1,
                        P2 => P2,
                        P2_in => P2,
                        P3 => P3,
                        P3_in => P3,
 
                        P0_out => P0,
 
      P1_out => P1,
 
      P2_out => P2,
 
      P3_out => P3_out,
                        INT0 => INT0,
                        INT0 => INT0,
                        INT1 => '1',
                        INT1 => '1',
                        T0 => '1',
                        T0 => '1',
                        T1 => '1',
                        T1 => '1',
                        T2 => '1',
                        T2 => '1',
Line 83... Line 88...
 
 
        DAT_I <= ROM_D when TAG0_O = '0' else RAM_D when ADR_O(15 downto 11) = "00000" else "11111111";
        DAT_I <= ROM_D when TAG0_O = '0' else RAM_D when ADR_O(15 downto 11) = "00000" else "11111111";
        ACK_I <= '1' when ADR_O_r = ADR_O else '0';
        ACK_I <= '1' when ADR_O_r = ADR_O else '0';
 
 
        P3(0) <= RXD;
        P3(0) <= RXD;
 
  P3(7 downto 1) <= P3_out(7 downto 1);
 
 
        process (CLK_I)
        process (CLK_I)
        begin
        begin
                if CLK_I'event and CLK_I = '1' then
                if CLK_I'event and CLK_I = '1' then
                        ADR_O_r <= ADR_O;
                        ADR_O_r <= ADR_O;
                end if;
                end if;
        end process;
        end process;
 
 
        as : AsyncStim
        as : AsyncStim
                generic map(FileName => "BASIC.txt", InterCharDelay => 5000 us, Baud => 115200, Bits => 8)
                generic map(FileName => "BASIC.txt", InterCharDelay => 5000 us, Baud => 57600, Bits => 8)
                port map(RXD);
                port map(RXD);
 
 
 
 
        al : AsyncLog
        al : AsyncLog
                generic map(FileName => "RX_Log.txt", Baud => 115200, Bits => 8)
                generic map(FileName => "RX_Log.txt", Baud => 57600, Bits => 8)
                port map(TXD);
                port map(TXD);
 
 
        CLK_I <= not CLK_I after 45 ns;
        CLK_I <= not CLK_I after 45 ns;
        RST_I <= '0' after 200 ns;
        RST_I <= '0' after 200 ns;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.