OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [fv/] [fsm_bfm.e] - Diff between revs 206 and 212

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 206 Rev 212
Line 21... Line 21...
        !i : uint(bits:13);
        !i : uint(bits:13);
        keep i == 0;
        keep i == 0;
 
 
        on main_clk {
        on main_clk {
                var data : fsm_input_s;
                var data : fsm_input_s;
 
                var last_X : byte;
 
                var last_Y : byte;
                gen data;
                gen data;
 
 
                --print mem[i];
                --print mem[i];
                --keep data.data_in == mem[i].as_a(byte);
                --keep data.data_in == mem[i].as_a(byte);
                while (reset_needed) {
                while (reset_needed) {
Line 49... Line 51...
                alu_status$ = data.alu_status;
                alu_status$ = data.alu_status;
                --data_in$    = data.data_in;
                --data_in$    = data.data_in;
                data_in$    = mem[i].as_a(byte);
                data_in$    = mem[i].as_a(byte);
                data.data_in = mem[i].as_a(byte);
                data.data_in = mem[i].as_a(byte);
                --data_in$    = 8'hF8;
                --data_in$    = 8'hF8;
 
                --print me.agent.chk.old_state;
 
                --if (me.agent.chk.old_state == CYCLE_1) {
 
                --      last_X = data.alu_x;
 
                --      last_Y = data.alu_y;
 
                --};
 
                --alu_x$      = last_X;
 
                --alu_y$      = last_Y;
                alu_x$      = data.alu_x;
                alu_x$      = data.alu_x;
                alu_y$      = data.alu_y;
                alu_y$      = data.alu_y;
 
 
                if (data.reset_n == 1) {
                if (data.reset_n == 1) {
                        i = i + 1;
                        i = i + 1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.