OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [controller_test.v] - Diff between revs 231 and 232

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 231 Rev 232
Line 42... Line 42...
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
module controller_test(reset_n, clk_50, pixel, vert_counter, hor_counter);
module controller_test(reset_n, clk_50, pixel, vert_counter, hor_counter, clk_358);
 
 
input reset_n;
input reset_n;
input clk_50;
input clk_50;
output reg [11:0] pixel;
output reg [11:0] pixel;
output reg [8:0] vert_counter;
output reg [8:0] vert_counter;
output reg [7:0] hor_counter;
output reg [7:0] hor_counter;
 
 
reg clk_358; // 3.58mhz
output reg clk_358; // 3.58mhz
reg [3:0] counter;
reg [3:0] counter;
 
 
reg [3:0] red;
reg [3:0] red;
reg [3:0] green;
reg [3:0] green;
reg [3:0] blue;
reg [3:0] blue;
Line 80... Line 80...
                        counter <= counter + 4'd1;
                        counter <= counter + 4'd1;
                end
                end
        end
        end
end
end
 
 
 
 
 
 
always @ (posedge clk_358 or negedge reset_n) begin
always @ (posedge clk_358 or negedge reset_n) begin
        if (reset_n == 1'b0) begin
        if (reset_n == 1'b0) begin
                hor_counter <= 8'd0;
                hor_counter <= 8'd0;
                vert_counter <= 9'd0;
                vert_counter <= 9'd0;
        end
        end
Line 105... Line 103...
                end
                end
        end
        end
end
end
 
 
always @(*) begin // comb logic
always @(*) begin // comb logic
 
        if (hor_counter < 10) begin
        pixel = {red, green, blue};
        pixel = {red, green, blue};
end
end
 
        else begin
 
                pixel = {red, red, green};
 
        end
 
end
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.