OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t2600.v] - Diff between revs 192 and 193

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 192 Rev 193
Line 42... Line 42...
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
// CPU
module t2600(clk, reset_n);
// RIOT
        parameter [3:0] DATA_SIZE = 4'd8;
 
        parameter [3:0] ADDR_SIZE = 4'd13;
 
        localparam [3:0] RIOT_ADDR_SIZE = 4'd7;
 
        localparam [3:0] TIA_ADDR_SIZE = 4'd6;
 
 
 
        input clk;
 
        input reset_n;
 
 
 
        t6507lp #(DATA_SIZE, ADDR_SIZE) t6507lp (
 
                .clk            (clk),
 
                .reset_n        (reset_n),
 
                .data_in        (data_in),
 
                .rw_mem         (rw_mem),
 
                .data_out       (data_out),
 
                .address        (address)
 
        );
 
 
 
        t6532 #(DATA_SIZE, RIOT_ADDR_SIZE) t6532 (
 
                .clk            (clk),
 
                .io_lines       (io_lines),
 
                .enable         (enable),
 
                .address        (address),
 
                .data           (data)
 
        );
 
 
 
 
// VIDEO
// VIDEO
// BUS CONTROLLER
// BUS CONTROLLER
 
 
 No newline at end of file
 No newline at end of file
 
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.