OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t2600.v] - Diff between revs 193 and 214

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 193 Rev 214
Line 68... Line 68...
                .enable         (enable),
                .enable         (enable),
                .address        (address),
                .address        (address),
                .data           (data)
                .data           (data)
        );
        );
 
 
 
        t2600_bus t2600_bus (
 
                .address        (address),
 
                .data_from_cpu  (data_from_cpu),
 
                .cpu_rw_mem     (cpu_rw_mem),
 
                .riot_data      (riot_data),
 
                .rom_data       (rom_data),
 
                .tia_data       (tia_data),
 
                .address_riot   (address_riot),
 
                .address_rom    (address_rom),
 
                .address_tia    (address_tia),
 
                .data_to_cpu    (data_to_cpu),
 
                .enable_riot    (enable_riot),
 
                .enable_rom     (enable_rom),
 
                .enable_tia     (enable_tia),
 
                .rw_mem         (rw_mem)
 
        );
 
 
 
        T2600_KB T2600_KB (
 
                .CLK            (clk),
 
                .RST            (reset_n),
 
                .io_lines       (io_lines),
 
                .KC             (kc),
 
                .KD             (kd)
 
        );
 
 
 
 
 
 
 
 
 
 
// VIDEO
// VIDEO
// BUS CONTROLLER
 
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.