OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t2600_kb_tb.v] - Diff between revs 211 and 214

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 211 Rev 214
Line 45... Line 45...
 
 
module t2600_kb_tb();
module t2600_kb_tb();
        // all inputs are regs
        // all inputs are regs
        reg clk;
        reg clk;
        reg reset_n;
        reg reset_n;
 
        reg kd;
 
        reg kc;
        // all outputs are wires
        // all outputs are wires
        wire [15:0] io_lines;
        wire [15:0] io_lines;
 
 
        initial clk = 0;
 
        always #10 clk <= ~clk;
        always #10 clk <= ~clk;
 
 
        always @(posedge clk) begin
        initial begin
                //$display("reset is %b", reset_n);
                clk = 1'b0;
                //$display("alu_enable is %b", alu_enable);
                reset_n = 1'b1;
                //$display("alu_opcode is %h", alu_opcode);
                kd = 1'b0;
                //$display("alu_a is %d", alu_a);
                kc = 1'b0;
 
 
 
                #10;
 
                reset_n = 1'b0;
 
 
 
                #40000;
 
                $finish;
 
        end
 
 
 
        always @(clk) begin
 
                kc = $random;
 
                kd = $random;
        end
        end
 
 
        t2600_kb t2600_kb (
 
 
        T2600_KB T2600_KB (
                .CLK            (clk),
                .CLK            (clk),
                .RST            (reset_n),
                .RST            (reset_n),
                .io_lines       (alu_enable)
                .io_lines       (io_lines),
 
                .KC             (kc),
 
                .KD             (kd)
        );
        );
 
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.